计算机组成第五周:单周期处理器

1 指令系统的需求

处理器的设计步骤:

  1. 分析指令系统,得出对数据通路的需求
  2. 为数据通路选择合适的组件
  3. 连接组件建立数据通路
  4. 分析每条指令的实现,以确定控制信号
  5. 集成控制信号,形成完整的控制逻辑

MIPS指令系统:

  • 无符号加法和减法:addu rd, rs, rt;subu rd, rs, rt
  • 立即数的逻辑或:ori rt, rs, imm16
  • 装载和存储一个字(32位):lw rt, imm16(rs);sw rt, imm16(rs)
  • 条件分支:beq rs, rt, imm16
需求 功能
算术逻辑单元(ALU) 运算类型为加、减、或、比较,2个来自寄存器或扩展后立即数的32位数
立即数扩展部件 将一个16位立即数扩展为32位数,采用零扩展或符号扩展
程序计数器(PC) 一个32位的寄存器,支持加4或加一个立即数两种加法
寄存器堆 每个寄存器为32位宽,共32个,支持从rs和rt的读操作,支持到rt或rd的写操作
存储器 一个只读的指令存储器,一个可读写的数据存储器,地址和数据均为32位
寄存器堆:busA,busB,busW,Ra,Rb,Rw
在时钟信号(clk)的上升沿,如果写使能信号有效(WriteEnable=1),将busW的内容存入该寄存器,寄存器堆的读操作不受时钟控制
存储器:Data In,Data Out,Address,Write Enable
在时钟信号(clk)的上升沿ÿ
  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
一、 设计目标 设计目的: 设计一个含有36条指令的MIPS周期处理器,并能将指令准确的执行并烧写到试验箱上来验证 设计初衷 1、理解MIPS指令结构,理解MIPS指令集中常用指令的功能和编码,学会对这些指令进行归纳分类。 2、了解熟悉MIPS体系中的处理器结构 3、熟悉并掌握周期处理器CPU的原理和设计 4、进一步加强Verilog语言进行电路设计的能力 二、实验设备 1、装有xilinx ISE的计算机一台 2、LS-CPU-EXB-002教学系统实验箱一台 三、实验任务 1.、学习 MIPS 指令集,深入理解常用指令的功能和编码,并进行归纳确定处理器各部件的控制码,比如使用何种 ALU 运算,是否写寄存器堆等。 2、周期 CPU 是指一条指令的所有操作在一个时钟周期内执行完。设计中所有寄存器和存储器都是异步读同步写的,即读出数据不需要时钟控制,但写入数据需时钟控制。 故周期 CPU 的运作即:在一个时钟周期内,根据 PC 值从指令 ROM 中读出相应的指令,将指令译码后从寄存器堆中读出需要的操作数,送往 ALU 模块,ALU 模块运算得到结果。 如果是 store 指令,则 ALU 运算结果为数据存储的地址,就向数据 RAM 发出写请求,在下一个时钟上升沿真正写入到数据存储器。 如果是 load 指令,则 ALU 运算结果为数据存储的地址,根据该值从数据存 RAM 中读出数据,送往寄存器堆根据目的寄存器发出写请求,在下一个时钟上升沿真正写入到寄存器堆中。 如果非 load/store 操作,若有写寄存器堆的操作,则直接将 ALU 运算结果送往寄存器堆根据目的寄存器发出写请求,在下一个时钟上升沿真正写入到寄存器堆中。 如果是分支跳转指令,则是需要将结果写入到 pc 寄存器中的。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值