hdlbits系列verilog解答(Popcount3)-57

本文介绍了如何使用Verilog编写一个计算3位输入向量中'1'个数的电路,提供了真值表、for循环和Case选择器三种实现方法,并附带了源代码和仿真结果。
摘要由CSDN通过智能技术生成


一、问题描述

本节我们写数量计数电路用来计算输入向量中‘1’的个数。下面创建一个计算3位输入向量的‘1’个数。

模块声明
module top_module(
input [2:0] in,
output [1:0] out );

思路分析:
三种方式:
真值表方式,列出输入和输出,化简组合逻辑表达式;
for循环方式,通过for循环对每一位是否为1进行判断,为1则输出+1。
Case选择器方式,通过判断输入给出对应输出。


二、verilog源码

module top_module( 
    input [2:0] in
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值