数字逻辑电路基础-组合逻辑电路之4位先行进位加法器


一、问题描述

前面介绍4位行波进位全加器(串行加法器)的原理及verilog实现,但是它是一种串行加法器,当位数多时,比如32位的二进制数相加,由于进位逐位从低位向高位传递,这会造成相当大的延迟。对于需要快速加法运算的信号处理电路来说,我们需要对其进行改进,一个常见的想法就是将进位提前计算出来,这样我们可以实现一种称为超前进位加法器的加法电路。

4位超前进位加法器的结构如下图所示:
4位超前进位加法器

其中
Pi=Ai or Bi 称为进位传递函数
Gi=Ai and Bi 称为进位产生函数

Si=Pi xor Ci
Ci=(Pi and Ci-1) or Gi

根据上述逻辑等式算出各进位的逻辑值:
C0 = cin
C1=G0 + P0·C0
C2=G1 + P1·C1 = G1 + P1·G0 + P1·P0 ▪C0
C3=G2 + P2·C2 = G2 + P2·G1

  • 5
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值