自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

zuoph的博客

物联网硬件和软件+FPGA+AI

  • 博客(109)
  • 资源 (24)
  • 收藏
  • 关注

原创 USB简介系列-05

USB简介系列-05

2024-07-14 10:13:39 823

原创 hdlbits系列verilog解答(Exams/ece241 2013 q2)-76

hdlbits系列verilog解答(Exams/ece241 2013 q2)-76

2024-05-30 11:18:57 45

原创 hdlbits系列verilog解答(Kmap4)-75

hdlbits系列verilog解答(Kmap4)-75

2024-05-30 10:57:08 54

原创 hdlbits系列verilog解答(Kmap3)-74

hdlbits系列verilog解答(Kmap3)-74

2024-05-30 10:16:15 36

原创 hdlbits系列verilog解答(Kmap2)-73

hdlbits系列verilog解答(Kmap2)-73

2024-05-27 10:37:50 49

原创 hdlbits系列verilog解答(Kmap1)-72

hdlbits系列verilog解答(Kmap1)-72

2024-05-27 09:24:56 34

原创 hdlbits系列verilog解答(Bcdadd4)-71

hdlbits系列verilog解答(Bcdadd4)-71

2024-05-22 10:27:43 124 1

原创 hdlbits系列verilog解答(Adder100)-70

hdlbits系列verilog解答(Adder100)-70

2024-05-22 10:15:10 28

原创 hdlbits系列verilog解答(Exams/ece241 2014 q1c)-69

hdlbits系列verilog解答(Exams/ece241 2014 q1c)-69

2024-05-22 10:09:30 50

原创 hdlbits系列verilog解答(Exams/m2014 q4j)-68

hdlbits系列verilog解答(Exams/m2014 q4j)-68

2024-05-22 09:32:48 37

原创 以太网基础知识系列-02

以太网基础知识系列-02

2024-05-17 16:10:49 833

原创 LIDAR激光雷达系列之三

LIDAR激光雷达系列之三

2024-05-15 09:40:04 927

原创 数字逻辑电路基础-有限状态机

数字逻辑电路基础-有限状态机

2024-04-23 20:35:24 205

原创 LIDAR激光雷达系列之二

LIDAR激光雷达系列之二

2024-04-19 14:06:04 378

原创 LiDAR激光雷达系列之一

LiDAR激光雷达系列之一

2024-04-09 23:47:56 857

原创 以太网基础知识系列-01

以太网基础知识系列-01

2024-04-02 10:32:13 854

原创 hdlbits系列verilog解答(Adder3)-67

hdlbits系列verilog解答(Adder3)-67

2024-03-27 20:05:39 83

原创 hdlbits系列verilog解答(Fadd)-66

hdlbits系列verilog解答(Fadd)-66

2024-03-27 19:56:45 46

原创 hdlbits系列verilog解答(Hadd)-65

hdlbits系列verilog解答(Hadd)-65

2024-03-27 19:51:57 44

原创 hdlbits系列verilog解答(Mux256to1v)-64

hdlbits系列verilog解答(Mux256to1v)-64

2024-03-27 19:44:05 61

原创 hdlbits系列verilog解答(Mux256to1)-63

hdlbits系列verilog解答(Mux256to1)-63

2024-03-27 19:40:29 76

原创 hdlbits系列verilog解答(Mux9to1v)-62

hdlbits系列verilog解答(Mux9to1v)-62

2024-03-27 19:32:54 43

原创 hdlbits系列verilog解答(Mux2to1v)-61

hdlbits系列verilog解答(Mux2to1v)-61

2024-03-27 19:24:21 21

原创 hdlbits系列verilog解答(Mux2to1)-60

hdlbits系列verilog解答(Mux2to1)-60

2024-03-19 11:40:06 38

原创 hdlbits系列verilog解答(Gatesv100)-59

hdlbits系列verilog解答(Gatesv100)-59

2024-03-19 11:35:02 45

原创 hdlbits系列verilog解答(Gatesv)-58

hdlbits系列verilog解答(Gatesv)-58

2024-03-19 09:54:12 75

原创 hdlbits系列verilog解答(Popcount3)-57

hdlbits系列verilog解答(Popcount3)-57

2024-03-19 09:26:47 60

原创 USB简介系列-04

USB简介系列-04

2023-12-17 22:21:07 797

原创 hdlbits系列verilog解答(thermostat)-56

hdlbits系列verilog解答(thermostat)-56

2023-12-17 20:31:14 412

原创 FPGA设计与实战之时钟及时序简介1

FPGA设计与实战之时钟及时序简介1

2023-12-17 15:28:10 573

原创 USB简介系列-03

USB简介系列-03

2023-12-12 19:52:35 882

原创 hdlbits系列verilog解答(Ringer)-55

hdlbits系列verilog解答(Ringer)-55

2023-12-11 18:39:10 401 1

原创 hdlbits系列verilog解答(mt2015_q4)-54

hdlbits系列verilog解答(mt2015_q4)-54

2023-12-10 09:46:34 468

原创 hdlbits系列verilog解答(mt2015_q4b)-53

hdlbits系列verilog解答(mt2015_q4b)-53

2023-12-10 09:37:59 345

原创 hdlbits系列verilog解答(mt2015_q4a)-52

hdlbits系列verilog解答(mt2015_q4a)-52

2023-12-10 09:31:35 367

原创 hdlbits系列verilog解答(mt2015_eq2)-51

hdlbits系列verilog解答(mt2015_eq2)-51

2023-12-10 09:28:25 389

原创 数字逻辑电路基础-组合逻辑电路之4位先行进位加法器

数字逻辑电路基础-组合逻辑电路之4位先行进位加法器

2023-12-10 09:23:42 2017

原创 数字逻辑电路基础-组合逻辑电路之4位加减法器

数字逻辑电路基础-组合逻辑电路之4位加减法器

2023-12-03 13:24:29 1562

原创 数字逻辑电路基础-组合逻辑电路之加法器

数字逻辑电路基础-组合逻辑电路之加法器

2023-12-02 18:26:08 515

原创 hdlbits系列verilog解答(真值表)-50

hdlbits系列verilog解答(真值表)-50

2023-12-02 09:39:00 380

JLink-Nand-Flash.rar

适用2440变砖后的处理方法,外围配置的SDRAM要求是64MB。我试过某款2410外挂32MB的SDRAM,方法走不通

2021-09-14

Training_PowerIntegerty_Simulation_with_HyperLynx_V03cl_16to9.pptx

使用hyperlynx进行电源完整性分析,公司资深专家所写,值得收藏和学习。

2019-12-02

A_Small_Passive_UHF_RFID_Tag_For_Metallic_Item_Identification.pdf

本文介绍如何通过UHF RFID标签来识别金属物体,对从事结构监测研究有帮助,提供了新的思路。本文介绍如何通过UHF RFID标签来识别金属物体,对从事结构监测研究有帮助,提供了新的思路。

2019-12-02

如何在仿真时使用正确的DK和Df值.pdf

高速电路仿真如何正确选择DK和DF值呢?本文是作者本人的研究成果,欢迎大家一起交流和探讨。高速电路仿真如何正确选择DK和DF值呢?本文是作者本人的研究成果,欢迎大家一起交流和探讨。

2019-12-02

TI 乾坤芯法.pdf

TI官网申请样品,搜索资料,解决技术问题,获取参考设计,一网全通。

2019-12-02

nexperia_selection_guide_2019_201901.pdf

安世半导体器件选型手册,最新2019版本,里面包含安世出口的器件最新最全手册,有需要请下载。

2019-12-02

DMX512-A灯光控制数据传输协议

本标准规定灯光控制系统中控制器与在1.4中所描述的调光器及其相关设备等被控设备间的数据传 输方法,包括电气特性、数据格式、数据传输协议和连接器类型。凡符合本标准的设备应标记“DMX512-A”。 除另有说明,本文中DMX512即表示DMX512-A。 本标准适用于灯光控制设备生产和灯光控制设备的系统集成。 本标准对灯光控制设备和系统的设计者和使用者具有指导作用。 本标准不包括对线缆和配线的要求。

2019-09-21

中国电子学会SI培训班内部教材

中国电子学会SI培训班内部教材,内容通俗易懂,对信号完整性进行了全面的培训。

2018-05-01

语音识别系统关键技术研究

语音识别系统关键技术研究,介绍了语音识别的系统结构,讨论了语音信号分析方法,对语音特征提取进行了研究,同时对HMM算法进行了深入研究,最后还介绍了BP神经网络在语音识别中的应用。

2018-05-01

语音识别领域所有有价值的论文合集

语音识别关键论文合集,Automatic Speech Recognition has been investigated for several decades, and speech recognition models are from HMM-GMM to deep neural networks today. It's very necessary to see the history of speech recognition by this awesome paper roadmap. I will cover papers from traditional models to nowadays popular models, not only acoustic models or ASR systems, but also many interesting language models.

2018-05-01

CNN唯一开源FPGA实现

PipeCNN: An OpenCL-Based Open-Source FPGA Accelerator for Convolution Neural Networks

2018-05-01

研发质量管理

华为研发质量管理的总结,对中小公司研发管理有一定的参考意义。

2018-02-27

Artificial Intelligence A Modern Approach 3e Solutions高清版

Artificial Intelligence A Modern Approach 3e Solutions,经典的人工智能教材,另外可以从以下网站获取更多资源:http://aima.cs.berkeley.edu/

2017-09-17

Artificial Intelligence A Modern Approach 3e Solutions配套答案

Artificial Intelligence A Modern Approach 3e Solutions配套答案,经典的人工智能教材,另外可以从以下网站获取更多资源:http://aima.cs.berkeley.edu/

2017-09-17

Switching Power Supply Design, A. I. Pressman - 第3版原文

This book is directed primarily to design engineers and engineering students at the undergraduate and graduate level. It may also be of significant value to people who are not directly involved in start-from-scratch designs, and those whose many main interest is power supply design analysis, design review, test, and debugging." Source: Preface from second edition. Topics from the second edition include: Part I: Fundamental Switching Regulators: Buck, Boost, and Investor Topologies. Push-Pull and Forward Converter Topologies. Half- and Full-Bridge Converter Topologies. Flyback Converter Topologies. Current-Mode and Current-Fed Topologies. Miscellaneous Topologies. Part II: Magnetics and Circuits Designs. Transformer and Magnetic Design. Bipolar Power Translator Base Drives. MOSFET Power Transistors and Input Drive Circuits. Magnetic-Amplifier Post-regulators. Turnon, Turnoff Switching Losses and Snubbers. Feedback-Loop Stabilization. Resonant Converters. Part III: Typical Switching Power Supply Waveforms. Part IV: Newer Applications for Switching Power Supply Technique: Power Factor, Power Factor Correction. High-Frequency Power Sources for Fluorescent Lamps. Low-Input-Voltage Regulators for Laptop Computers and Portable Electronics. The second edition is about to be updated to a third edition with K. H. Billings as co-author. The new book can be pre-ordered from the Amazon image. When available, the above review will be updated. When a Navy Program Manager sent me the best a design review of a power supply I had ever read, I sought out the author, Abe Pressman, before he ever wrote his first book. We became friends with our paths crossing at design reviews, conference seminars, and many 12 hour days of conversation at the Navy Ocean Systems Center when he visited the west coast. From the trenches of practical power supply design, not academics, Abe never stopped learning, checked out what he learned in the lab to make sure it worked, and went on to write several editions of what many consider the best practical power supply design book written. Abe is no longer with us, but Keith Billings is bringing out an updated 3rd edition in the same spirit. 非常好的一本开关电源设计参考书,值得所有搞电源开发的工程人员及技术管理人员阅读。

2017-01-04

微信公众平台应用开发:方法、技巧与案例随书源代码

微信公众平台应用开发方法、技巧与案例随书源代码.

2014-06-24

微信公众平台开发最佳实践

微信公众平台开发最佳实践一书的前三章,介绍微信基本开发流程.

2014-06-24

微信公众平台应用开发实战源码

一套适合于初学者的微信公众平台应用开发模版,在此基础上可以添加其他应用特性.

2014-06-03

high speed digital design

约翰逊写的经典的SI书籍,英文原版,是搞硬件的人员必备的一本参考书。

2010-10-07

思科QuantumFlow Multicore and System Software

介绍思科QuantumFlow多核处理器及相关的系统软件。

2010-04-06

Deploying And Managing IP Over WDM Networks

introduction to the latest IP over WDM networks.

2010-03-29

Network processor architecture

介绍EZCHIP芯片公司的NETWORK PROCESSOR

2010-03-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除