hdlbits系列verilog解答(Adder100)-70

本文档详细介绍了如何使用Verilog语言创建一个100位的二进制加法器,包括模块声明、行为级描述的源代码,并展示了仿真结果。
摘要由CSDN通过智能技术生成


一、问题描述

创建一个100位二进制加法器,产生一个100位的和及一个进位输出。

模块声明
module top_module(
input [99:0] a, b,
input cin,
output cout,
output [99:0] sum );

思路:
直接利用行为级描述来生成。


二、verilog源码


module top_module( 
    input [99:0] a, b,
    input cin,
    
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值