输入位宽可变的除法器verilog编程详解

本文详细说明了verilog实现除法器的运算过程,可以流水线地处理任意输入位宽的除法运算。

其详细运算过程如下:

(1)将被除数和除数用0扩位,被除数左扩位,除数右扩位;

(2)将被除数高位数据与除数作比较,如果前者小于后者,则将被除数左移一位,末位补0,代表该位商取0;反之,则用此时被除数减去除数,结果再加1,然后再将被除数左移一位,代表该位商取1;

(3)重复(2)的步骤,直至被除数第一位数也参与了比较计算,最后一次得到的被除数不再移位,此时被除数前几位(和除数位宽一致)即为余数,后几位(和被除数位宽一致)即为商数。

已附上代码源码,密码私聊。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值