自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(40)
  • 资源 (6)
  • 收藏
  • 关注

原创 简易电阻、电容和电感测量仪-FPGA

设计并制作--台数字显示的电阻、电容和电感参数测试仪,框图如图1所示。1.基本要求(1)测量范围:电阻值为100~1MQ;电容值为100~ 10000pF;电感值为100μH~ 10mH。.(2)测量精度:土5%。(3)制作4位数码管显示器,显示测量数值,并用发光二极管分别指示所测元件的类型和单位。

2024-06-28 17:01:44 696

原创 DC-DC变换电路的研究与设计

设计要求:设计一个DC-DC 电源,具体参数如下:直流输入输入30V(20%变化),输出直流电压20V,纹波系数

2024-06-28 16:37:20 1106

原创 基于MATLAB仿真设计无线充电系统

通过学习无线充电相关课程知识,通过课程设计无线充电系统,将所学习的WPT,DC-DC,APFC进行整合得到整个无线充电系统,通过进行仿真研究其系统特性,完成我们预期系统功能和指标

2024-06-27 16:36:28 1377

原创 基于sivaco设计仿真PT型IGBT和NPT型IGBT结构

本项目基于使用仿真软件SIVACO来仿真研究PT型和NPT型的IGBT结构特点,并且通过仿真研究对于不同的掺杂浓度、沟道宽度等对器件的特性产生不同的影响。

2024-06-27 16:14:23 1006

原创 SOBEL图像边缘检测器的设计

在过去的20年里产生了许多边缘检测器,而Sobel算法只涉及加法操作,但却可以得到很好的划分效果,因而是图像处理系统中最常用的边缘检测算法。(3)如果使用CPLD/FPGA进行自行设计,通过采用并行流水线技术假设使用50M的系统时钟,处理完一个像素点的时间为 4×1/(50M)=80ns,处理完一帧图像的时间为 800×600×80ns=38.4ms,结果处理速度比DSP高了大约两个数量级,从上可以看出,使用CPLD/FPGA进行自行设计有关图像处理模块,对整个系统速度的改善是很非常明显的。

2024-06-27 15:39:44 1425

原创 基于Boost和平均电流控制方法的APFC电路设计

通过使用matlab程序对于APFC进行仿真设计,达到预期指标,学习相关知识。①学习平均电流控制的方法。②熟悉Boost电路的拓扑结构。 ③熟悉Matlab仿真软件。④掌握APFC电路的设计方法

2024-06-27 15:17:23 531

原创 基于STM32的智能插座项目

本文基于stm32构建了智能插座系统,该系统可测量用电器件的电压、电流和功率等参数,一旦电器功率超载,系统将自动断电并发出警报。系统的硬件部分整合了核心板、电源转换模块、电压电流互感器和继电器等组件,使用电压电流互感器获取电信号,通过ADC进行采样和数据传输,单片机处理数据后显示用电器件的参数信息,利用WIFI模块与手机实现远程信息传输,实现系统的监控和数据分析。该系统最终实现了智能插座的预想功能,通过手机APP监测插座的开关状态和显示电器设备的能耗情况,包括电压、电流和功率参数,并实时在OLED屏幕上显示

2024-06-21 10:16:04 1082

原创 WPT无线电能传输公式推导、编程计算、仿真验证全过程

主要分为5大模块,分别为无补偿,SS,SP,PP,PS,每个模块对应有公式推导,matlab编程计算相关参数以及将计算参数和仿真验证观察是否对应。采用matlab编程计算参数以及simulink仿真验证

2024-01-18 14:45:13 2513 5

原创 MOSFET正向导通,阻断,阈值电压研究

主要为MOSFET仿真其正向导通,正向阻断以及阈值电压相关特性曲线,分析其曲线的原因

2023-05-01 14:43:56 3481 1

原创 silvaco 仿真BJT

本次实验为利用silvaco仿真BJT器件,分析不同p区厚度以及p区不同掺杂浓度研究其电流增益的变化

2023-04-09 17:41:54 2944

原创 sivalco使用测试pin正向导通

在学完功率半导体器件后,为了能够更好的了解功率半导体器件内部的相关性质,于是利用sivalco软件进行仿真,对于器件工作时相关数据进行分析,对于相关语句进行学习,这里以PN结模型仿真,PIN正向导通和反向阻断为例。

2023-03-09 00:06:33 3151 2

原创 2022年 大学生工程训练比赛[物料搬运]

本博客为2022年大学生工程训练 智能机器人搬运赛项参加记录,主要为参赛过程和使用的技术和使用到的模块和经验分享。

2023-01-11 19:27:49 11029 7

原创 卡尔曼滤波原理公式详细推导过程[包括引出]

将从最初的递归算法,利用数据融合,协方差矩阵,状态空间方程等基础推导,最终分析卡尔曼滤波5个方程全部的推导过程,其过程有很多晦涩难懂的公式,我会尽量的表达清楚和加入一些个人理解,从而使得较为便于理解

2023-01-05 20:11:50 6341 13

原创 ubantu 配置及解决问题

ubantu使用过程中遇到的配置以及相关问题解决。

2022-12-30 09:49:40 616 1

原创 CNN卷积神经网络/手写数字识别[VHDL][MATLAB]带源码

本次为期末课程设计,了解CNN过程,并且以数字识别为例去了解CNN的应用,采用matlav来事先分析整个过程,并且转化为VHDL语言,使用FPGA使用该想法,由于本次为期末在家期间所做,没有硬件去验证准确性,只能利用波形仿真去验证思想,包括代码部分的讲解和说明。

2022-12-10 19:28:19 3119 6

原创 (LMS)8阶FIR滤波器以及8阶折叠结构FIR[VHDL]

本实验用VHDL语言编写(LMS)8阶FIR滤波器以及折叠因子N=2的折叠结构的FIR滤波器,进行原理分析以及折叠过程的计算,编写8阶FIR滤波器以及折叠结构的FIR滤波器进行仿真并且进行比较。

2022-12-07 23:35:50 2228 1

原创 数电实验(组合逻辑电路、时序电路,555定时器)

数电实验(组合逻辑电路、时序电路,555定时器)里面包括:1位二进制数比较器、2选1数据选择器、1位数的半加器、3人表决器、奇数位校验器、同步时序电路、计数器电路、异步模7计数器、JK触发器设计一同步时序电路、74LVC161芯片设计一个计数器、555定时器构成单稳态触发器、555定时器构成多谐振荡器、555定时器构成施密特触发器

2022-12-06 15:08:22 11794 5

原创 BJT/SCR/JFET/MOSFET/IGBT器件分析

本文章主要讲述五种主流器件:BJT,SCR,JFET,MOSFET,IGBT的器件工作原理,为阻态,开通,通态以及关断其器件内部的原理,从而更好的了解器件工作,更好的区分各器件更加适合应用在何项目中。

2022-11-25 11:53:07 3264 2

原创 FIR数字滤波器的设计[Matlab][带源码]

FIR数字滤波器的设计,使用矩形窗、汉明窗、布莱克曼窗和汉宁窗进行设计,观察幅频和相位特性的变化,从而达到更好理解窗函数法设计滤波器的效果。

2022-11-23 10:40:38 11772 1

原创 产生频率或者占空比可调的PWM波【汇编语言】

利用汇编语言编写输出占空比或频率可调的PWM波,从寄存器底层分析寄存器如何配置,从而输出达到我们想要的PWM波

2022-11-14 17:04:47 2610

原创 嵌入式实验1【流水灯】

本次实验利用Eplise,使用汇编语言搭配Exynos4412实验箱进行的LED的流水灯操作,对环境搭建的注意事项和代码进行一个详解

2022-10-15 14:36:20 6009

原创 2022年电赛 声源定位跟踪系统(E题)

2022年电子设计大赛声源定位跟踪系统(E题)制作过程和体会

2022-08-24 18:34:53 17981 20

原创 PID理论基础及代码详解【stm32标准库】

在进行电机PID速度控制时学习过程对于基础理论的分析及代码部分分析和实物调试过程全过程的解析

2022-08-23 19:49:58 6513 2

原创 2019年电赛综合测评分析及仿真练习

2019年综合测评方案解析计算全过程

2022-07-26 12:02:25 14051

原创 学习运算放大器典型电路问题及其解释

使用运算放大器过程中会出现一些疑问,在理论和实践中找出问题的答案。问题包括小信号经过运算放大器后是否还需要跟随器跟随等等问题,本人会陆续添加在学习过程中疑惑的点并且进行仿真进行验证。......

2022-07-25 10:32:54 1754

原创 唐老师讲运放大师笔记整理1[运算放大器】

本博客为本人学习唐老师讲运放时整理笔记,运放的多种应用场景

2022-07-18 17:24:25 4086 3

原创 2022年电赛信号类模块准备

2022年全国大学生电子设计大赛主要器件清单及信号类模块预测准备

2022-07-15 11:41:39 9010 3

原创 RISC-V MCU 智能防疫协测机器人

2022届全国大学生嵌入式比赛沁恒赛道

2022-07-14 16:37:00 1382

原创 CORDIC算法[VHDL]

设计一个应用CORDIC算法求解正弦函数和余弦函数的VHDL程序,CORDIC算法能够包含圆周系统,线性系统,双曲线系统三种旋转系统,将旋转的角度通过统一的硬件实现多功能

2022-07-05 22:06:30 1296

原创 2019年电赛D题《简易电路特性测试仪》全过程

2019年全国大学生电子设计大赛D题《简易电路特性测试仪》硬件部分及故障分析

2022-06-26 16:39:00 35402 22

原创 数控直流电压源的设计与制作【keil5 & AD20]

数控直流电压源的设计与制作(结合AD20绘制PCB,KEIL5编写程序)

2022-06-19 10:53:07 17356 7

原创 【matlab】语言信号取样及分析【GUI】

(1)录制不同采样率的语音数据,测试效果。(2) 对语音信号进行分析傅里叶分析,分析不同语音频谱的区别。(3)分别采用不同的采样率(100、500、1000、5000、10000等)对语音信号进行采样,测试语音播放效果,分析背后的原理。(4)采用低通滤波器对语音信号进行处理,分析处理后对语音效果的影响及其原因。

2022-05-20 15:56:58 3669

原创 GUI设计界面实现语言信号取样与分析【matlab】

创建一个GUI文件,利用相关的功能(见图一)去设计人机交互界面达到自己所设定的要求,本实验是综合以往相关每章内容

2022-05-20 12:09:31 1278

原创 [EDA] 综合计时系统设计 【VHDL】

设计综合性的计时系统,能实现年、月、日、时、分、秒及3星期的计数等综合计时功能,并且将计时结果通过三次显示至开发板上,通过设计俩个按键,在计时过程中,对计时系统的有关参数进行调整。

2022-05-16 23:22:09 5246 6

原创 异步复位的32位数据寄存器【VHDL】

异步复位功能的32位寄存器

2022-05-01 13:06:44 1747 1

原创 利用计数器实现任意分频,占空比为60%(任意占空比)电路 [VHDL]

[VHDL]利用计数器实现任意分频,占空比为60%电路也可以设置为任意占空比。

2022-04-30 18:18:08 5012

原创 EDA实验:FIR滤波器的设计(VHDL)

基于VHDL的FIR滤波器设计

2022-04-24 22:51:09 4566 7

原创 EDA实验:数字频率计(FREQ)设计(VHDL)

数字频率计数器基于VHDL语言的设计设计并调试好8位十进制数字频率计,并用GW48系列或其他EDA实验开发系统(事先应选定拟采用的实验芯片的型号)进行硬件验证

2022-04-24 11:21:49 16289 7

原创 EDA实验:(DTTIMES)数字秒表的设计

EDA实验之数字秒表的设计

2022-04-23 21:25:20 8098 5

原创 【EDA实验DTCNT9999及DTCNT999999999999】

本实验基于VHDL语言设计一个能够动态的显示0-9999的4位十进制计数电路,在不同频率下不同速率的显示。对DTCNT9999的扩展到12个9的程序解析。

2022-04-21 10:04:25 3663 11

APFC资料包括仿真和文档

APFC资料包括仿真和文档

2024-06-25

WPT的五种补偿电路的matlab编程参数计算代码

WPT的五种补偿电路的matlab编程参数计算代码

2024-01-18

MOSFET基于silvaco实验仿真,主要研究其正向导通,反向导通,阈值电压的仿真曲线,以及不同的氧化层厚度,p区掺杂浓度

MOSFET基于silvaco实验仿真,主要研究其正向导通,反向导通,阈值电压的仿真曲线,以及不同的氧化层厚度,p区掺杂浓度对器件的影响,里面包括源码和对特性仿真曲线的详细分析。

2023-05-08

silvaco2015版本

silvaco2015版本,解压后看安装步骤安装,对于window10操作系统可能不会出现问题,window11可能出现兼容性问题,需要修改驱动。

2023-03-08

数控电源电子课程设计参考代码

本资源为电子课程实训数控电源参考代码,和博文其数控电源匹配

2023-01-27

神经卷积网络CNN的VHDL源程序

了解CNN过程,并且以数字识别为例去了解CNN的应用,并且为VHDL语言,使用FPGA使用该想法,利用波形仿真去验证思想。

2023-01-11

数电实验(组合逻辑电路、时序电路,555定时器)

数电实验(组合逻辑电路、时序电路,555定时器)里面包括:1位二进制数比较器、2选1数据选择器、1位数的半加器、3人表决器、奇数位校验器、同步时序电路、计数器电路、异步模7计数器、JK触发器设计一同步时序电路、74LVC161芯片设计一个计数器、555定时器构成单稳态触发器、555定时器构成多谐振荡器、555定时器构成施密特触发器

2022-12-06

综合计时系统设计【VHDL】

根据系统的设计要求,综合计时电路可分为计秒电路、计分电路、计时电路、计星期电路、计日电路、计月电路、计年电路等7个子模块,这7个子模块必须都具有预置、计数和进位功能,设计思想如下: (1) 计秒电路:以直接输入或由分频器产生的秒脉冲作为计秒电路的计数时钟信号,待计数至60瞬间,进位,计分电路加1,而计秒电路则清零并重新计秒。 (2)计分电路、计时电路:其设计思想与计秒电路类似。 (3)计星期电路:将计时电路产生的进位脉冲信号作为计星期电路的计数时钟信号,待计数至7瞬间,计星期电路返回1重新开始计数。 (4)计日电路:将计时电路产生的进位脉冲信号作为计日电路的计数时钟信号,通过系统辨认,确定本月总天数X(包括28、29、30、31四种情况),待计数至X+1瞬间,进位,计月电路加1,而计日电路返回1重新开始计数。 (5)计月电路:将计日电路产生的进位脉冲信号作为计月电路的计数时钟信号,待计数至12瞬间,进位,计年电路加1,而计月电路返回1重新开始计数。 (6)计年电路:将计月电路产生的进位脉冲信号作为计年电路的计数时钟信号,待计数至100瞬间,计年电路返回0重新开始计数。(注:由于本系统的

2022-05-19

基于VHDL设计数字频率计

本程序基于VHDL语言设计的数字频率计,对于外部的频率能够进行测试并且显示至数码管上,内部包含全部源程序(已经经过硬件仿真)和主要文件波形仿真。对重要程序带有注释,对于我对程序的解析能够快速的了解整个程序的设计过程。

2022-04-24

基于VHDL 的数字秒表设计

基于VHDL语言设计的数字秒表,能够在开发板显示和日常数字秒表显示一样的功能。

2022-04-24

基于VHDL 的动态DTCNT999999999999设计

对DTCNT9999的加深,加入新的模块使得能够在数码管上得到12个9的计数过程。

2022-04-24

基于VHDL的FIR滤波器设计

本程序基于VHDL语言设计的FIR滤波器,能够调整输入运算的数值,并且将通过FIR滤波器运算后的结果显示到数码管上,能够达到多次数字运算,并且能够分批显示,压缩包带有主要模块仿真图,重要代码的注释。 结合我对程序的分析,能够快速的对整个程序得到一个深入的了解。

2022-04-24

DTCNT9999基于VHDL语言

本资料基于EDA课程中设计CNT9999,可以动态显示在数码管上达到0-9999的动态显示。 其中包含每一个模块代码和仿真波形,基础设定都已设定,内部部分内容存有注释,大家可以自行参考并根据自己实验内容做一定的修改 本

2022-04-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除