基于FPGA的双口RAM设计方法

基于FPGA的双口RAM设计方法

Daniel 2015-6-8

 

         在一个项目中使用了双口RAM CY7C025AV,8KX16bit,用于ARM和DSP之前的数据交换,ARM基于STM32的FSMC总线,包含13根地址线,16根数据线,还有CS、OE、WE等控制信号;DSP是基于EFIM接口,包含21根地址线,16根数据线,还有CS、OE、WE等信号,使用CY7C025AV可以实现数据双向无障碍传输。

        由于项目优化,使用一片FPGA代替CY7C025AV实现双口RAM,需要设计实现数据的存储于读写。其实实现一个基本功能的双口RAM是比较简单的,使用CS、OE、WE信号组合实现数据的读写信号:

        assign arm_fpga_read = (!arm_nce)&&(!arm_noe)&& arm_nwe;

        assign arm_fpga_write = (!arm_nce)&&(!arm_nwe)&&(arm_noe);

        当arm_fpga_read有效时,将RAM中的数据取出到ARM数据总线上;当arm_fpga_write信号有效时将ARM地址线和数据线上的数据锁存到RAM对应的地址上去。结构示意图如下所示:


   图1 使用FPGA实现双口RAM的基本结构

 

         如何避免双口RAM两端对同一地址同时读写?一个方法就是在ARM与DSP通信时在时序上保证ARM和DSP不会同时读写同一地址,将ARM和DSP可写地址范围进行分区,无论任何一方写完数据后都通过IO发送中断通知对方,对方进行数据读取,这样是比较可靠的;另外一个办法就是在fpga里设置写busy信号,实现两端写同步。

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于FPGA双口RAM设计方法有多种。一种常见的方法是使用CS、OE、WE信号组合实现数据的读写信号。这种方法可以通过控制这些信号的时序来避免两端对同一地址同时读写。另一种方法是在FPGA中设置写busy信号,实现两端写同步。这样,当一端正在写入数据时,另一端将被阻塞,直到写操作完成。还有一种方法是使用乒乓操作的处理流程,通过输入数据选择单元将数据流等时分配到两个数据缓冲区,常用的存储单元包括双口RAM(DPRAM)、单口RAM(SPRAM)和FIFO等。这些方法都可以实现基于FPGA双口RAM设计。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* *2* [基于FPGA双口RAM设计方法](https://blog.csdn.net/DanielLee_ustb/article/details/46446359)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [【乒乓操作】基于FPGA的双 RAM结构乒乓操作Verilog实现](https://blog.csdn.net/ccsss22/article/details/125012710)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值