verilog 学习记(mac安装iverilog和gtkwave)

【 声明:版权所有,欢迎转载,请勿用于商业用途。  联系信箱:feixiaoxing @163.com】

 

    由于自己的电脑是mac,所以在安装方法和linux、windows不太一样,只能使用brew安装,其做下来,发现也不复杂,就两步。

 

    1) 安装iverilog

    brew install icarus-verilog

 

    2)安装gtkwave

    brew install homebrew/gui/gtkwave

 

其他:

    个人觉得iverilog和gtkwave是一个非常好的软件,在windows、linux和mac平台上都能应用,如果是进行verilog设计,非常方便,比modelsim好很多。但如果需要考虑时序的话,还是老老实实的modelsim + quartus。

 

ps:

    如果如果能用真实的开发板去验证也是很好的,比如fpga。现在比较流行arm+fpga的开发模式,比如zynq,学习好fpga还是很有必要的,fpga可以用来进行算法的加速操作,比如图像算法。

 

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

嵌入式-老费

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值