FPGA实验之串口收发整合

该博客详细介绍了如何在FPGA中整合串口收发功能,包括8位二进制数据的接收和发送,涉及Verilog编程、SignalTap使用及错误检测。通过建立工程、编写程序并进行仿真验证,实现了串口通信协议的完整流程。
摘要由CSDN通过智能技术生成

FPGA实验之串口收发整合

功能:接收一个8位二进制数,并把它传给上位机。

数据包括1个起始位,8个二进制位,1个奇校验位,1个停止位,共11位。

(1)    建立工程

(2)    编写程序

顶层模块如下:

module UART_TOP(input CLK,RST,RXD,

                                           output TXD);                                                   

UART_send_receive U1(.CLK(CLK),

                                   .RST(RST),

                                   .RXD(RXD),

                                   .call_send(call_send),//CLK:时钟信号; RST:复位信号; RXD:接收数据引脚;

                                   .Send_Data(Send_Data),//Send_Data:数据输入信号;call_send:发送使能信号

                                    .Send_Done(Send_Done),//Send_Done:发送完成输出信号;

                                    .Receive_Done(Receive_Done), //Receive_Done:接收完成信号;

                                    .Receive_error(Receive_error), //接收错误的信号

                                    .TXD(TXD),     //TXD:发送输出

                                    .Receive_data(Receive_data));  //Receive_data:接收完成的数据 

reg call_send,Send_Done,Receive_Done,Receive_error;

reg [7:0] Send_Data,Receive_data;                 

reg [7:0] i;                 

                                         

always @(posedge CLK or negedge RST) // 测试串口收发模块

              if(!RST)

                            begincall_send<=1'b0; end

              else

                            case(i)

                            0:if(Receive_error) begin i<=1'b0; end //如果接收错误,则停留在这一步,继续等待接收

                                          elseif(Receive_Done) begin i<=i+1'b1; end //如果接收完成到达下一步,否则停留在这一步

                                                                      elsei<=8'b0;

                            1:if(Send_Done) begin i<=i+1'b1; call_send<=1'b0;end  // 将发送使能信号置1,将收到的数据发送给串口

                                          elsebegin  call_send<=1'b1;Send_Data<=Receive_data; end

                            2:i<=8'b0;    //返回第一步

                            endca

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值