FPGA有符号加法器

module signed_add(input CLK,RST,
                  input  [12:0] A,B,//input parameter A={1'b,12'b}={sign,value} 
                                    //B={1'b,12'b}={sign,value}
                  output [13:0] C); //output C=A+B  C={1'b,13'b}={sign,value} 

reg [13:0] c;
always @(posedge CLK,negedge RST)
    if(!RST)begin 
        c<=13'b0;
    end else begin 
    if(A[12]==0&&B[12]==0)begin  //if(A is positive and B is positive )
        c<={1'b0,{1'b0,A[11:0]}+{1'b0,B[11:0]}};
    end
    if(A[12]==1&&B[12]==0)begin  //if(A is negative and B is positive )
       if(A[11:0]>B[11:0]) c<={1'b1,{1'b0,A[11:0]}-{1'b0,B[11:0]}};
       else c<={1'b0,{1'b0,B[11:0]}-{1'b0,A[11:0]}};
    end 
    if(A[12]==0&&B[12]==1)begin //if(A is positive and B is negative )
     
  • 3
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值