Verilog fpga UART串口收发的实验

写在前面:这是个FPGA串口收发的实验,发送一个字节,发送完返回一个字节(返回字节可以自己设定)。波特率是9600。
在B站的开发视频上改的,看不懂代码的去看一遍视频就可以了,链接是https://www.bilibili.com/video/BV1KE411h7AZ?p=15
这个是接收端,发送端在这个视频同期的上一个视频。

首先是发送端的模块:

/***************************************************
*	Module Name		:	uart_byte_rx		   
*	Engineer		   :	小梅哥
*	Target Device	:	EP4CE10F17C8
*	Tool versions	:	Quartus II 13.0
*	Create Date		:	2017-3-31
*	Revision		   :	v1.0
*	Description		:  串口接收模块设计
**************************************************/

module uart_byte_rx(
			Clk,        //模块时钟50M
			Rst_n,      //模块复位
			baud_set,   //波特率设置
			Rs232_Rx,   //RS232数据输入
			data_byte,  //并行数据输出
			Rx_Done     //一次数据接收完成标志
		);

	input Clk;
	input Rst_n;
	input [2:0]baud_set;
	input Rs232_Rx;
	
	output reg [7:0]data_byte;
	output reg Rx_Done;
	
	reg s0_Rs232_Rx,s1_Rs232_Rx;//同步寄存器
	
	reg tmp0_Rs232_Rx,tmp1_Rs232_Rx;//数据寄存器
	
	reg [15:0]bps_DR;//分频计数器计数最大值
	reg [15:0]div_cnt;//分频计数器
	reg bps_clk;//
	reg [7:0]bps_cnt;
	
	reg uart_state;
	
	reg [2:0] r_data_byte [7:0];
	//reg [7:0] tmp_data_byte;
	reg [2:0] START_BIT,STOP_BIT;
	
	wire nedege;
	//
	

	
	/
	
	
	//同步寄存器,消除亚稳态
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)begin
		s0_Rs232_Rx <= 1'b0;
		s1_Rs232_Rx <= 1'b0;	
	end
	else begin
		s0_Rs232_Rx <= Rs232_Rx;
		s1_Rs232_Rx <= s0_Rs232_Rx;	
	end
	
	//数据寄存器
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)begin
		tmp0_Rs232_Rx <= 1'b0;
		tmp1_Rs232_Rx <= 1'b0;	
	end
	else begin
		tmp0_Rs232_Rx <= s1_Rs232_Rx;
		tmp1_Rs232_Rx <= tmp0_Rs232_Rx;	
	end
	
	assign nedege = !tmp0_Rs232_Rx & tmp1_Rs232_Rx;
	
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		bps_DR <= 16'd324;
	else begin
		case(baud_set)
			0:bps_DR <= 16'd324;
			1:bps_DR <= 16'd162;
			2:bps_DR <= 16'd80;
			3:bps_DR <= 16'd53;
			4:bps_DR <= 16'd26;
			default:bps_DR <= 16'd324;			
		endcase
	end
	
	//counter
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		div_cnt <= 16'd0;
	else if(uart_state)begin
		if(div_cnt == bps_DR)
			div_cnt <= 16'd0;
		else
			div_cnt <= div_cnt + 1'b1;
	end
	else
		div_cnt <= 16'd0;
		
	// bps_clk gen
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		bps_clk <= 1'b0;
	else if(div_cnt == 16'd1)
		bps_clk <= 1'b1;
	else
		bps_clk <= 1'b0;
	
	//bps counter
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)	
		bps_cnt <= 8'd0;
	else if(bps_cnt == 8'd159 | (bps_cnt == 8'd12 && (START_BIT > 2)))
		bps_cnt <= 8'd0;
	else if(bps_clk)
		bps_cnt <= bps_cnt + 1'b1;
	else
		bps_cnt <= bps_cnt;

	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		begin
		Rx_Done <= 1'b0;
		end
	else if(bps_cnt == 8'd159)
		begin
		Rx_Done <= 1'b1;
		end
		
	else
		begin
		Rx_Done <= 1'b0;
		end
//	always@(posedge Clk or negedge Rst_n)
//	if(!Rst_n)
//		data_byte <= 8'd0;
//	else if(bps_cnt == 8'd159)
//		data_byte <= tmp_data_byte;
//	else
//		data_byte <= data_byte;
		
		
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		data_byte <= 8'd0;
	else if(bps_cnt == 8'd159)begin
		data_byte[0] <= r_data_byte[0][2];
		data_byte[1] <= r_data_byte[1][2];
		data_byte[2] <= r_data_byte[2][2];
		data_byte[3] <= r_data_byte[3][2];
		data_byte[4] <= r_data_byte[4][2];
		data_byte[5] <= r_data_byte[5][2];
		data_byte[6] <= r_data_byte[6][2];
		data_byte[7] <= r_data_byte[7][2];
	end	
		
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)begin
		START_BIT = 3'd0;
		r_data_byte[0] <= 3'd0;
		r_data_byte[1] <= 3'd0;
		r_data_byte[2] <= 3'd0;
		r_data_byte[3] <= 3'd0;
		r_data_byte[4] <= 3'd0;
		r_data_byte[5] <= 3'd0;
		r_data_byte[6] <= 3'd0;
		r_data_byte[7] <= 3'd0;
		STOP_BIT = 3'd0;
	end
	else if(bps_clk)begin
		case(bps_cnt)
			0:begin
					START_BIT = 3'd0;
					r_data_byte[0] <= 3'd0;
					r_data_byte[1] <= 3'd0;
					r_data_byte[2] <= 3'd0;
					r_data_byte[3] <= 3'd0;
					r_data_byte[4] <= 3'd0;
					r_data_byte[5] <= 3'd0;
					r_data_byte[6] <= 3'd0;
					r_data_byte[7] <= 3'd0;
					STOP_BIT = 3'd0;			
				end
			6,7,8,9,10,11:START_BIT <= START_BIT + s1_Rs232_Rx;
			22,23,24,25,26,27:r_data_byte[0] <= r_data_byte[0] + s1_Rs232_Rx;
			38,39,40,41,42,43:r_data_byte[1] <= r_data_byte[1] + s1_Rs232_Rx;
			54,55,56,57,58,59:r_data_byte[2] <= r_data_byte[2] + s1_Rs232_Rx;
			70,71,72,73,74,75:r_data_byte[3] <= r_data_byte[3] + s1_Rs232_Rx;
			86,87,88,89,90,91:r_data_byte[4] <= r_data_byte[4] + s1_Rs232_Rx;
			102,103,104,105,106,107:r_data_byte[5] <= r_data_byte[5] + s1_Rs232_Rx;
			118,119,120,121,122,123:r_data_byte[6] <= r_data_byte[6] + s1_Rs232_Rx;
			134,135,136,137,138,139:r_data_byte[7] <= r_data_byte[7] + s1_Rs232_Rx;
			150,151,152,153,154,155:STOP_BIT <= STOP_BIT + s1_Rs232_Rx;
			default:
				begin
					START_BIT = START_BIT;
					r_data_byte[0] <= r_data_byte[0];
					r_data_byte[1] <= r_data_byte[1];
					r_data_byte[2] <= r_data_byte[2];
					r_data_byte[3] <= r_data_byte[3];
					r_data_byte[4] <= r_data_byte[4];
					r_data_byte[5] <= r_data_byte[5];
					r_data_byte[6] <= r_data_byte[6];
					r_data_byte[7] <= r_data_byte[7];
					STOP_BIT = STOP_BIT;						
				end
		endcase
	end
	
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		uart_state <= 1'b0;
	else if(nedege)
		uart_state <= 1'b1;
	else if(Rx_Done || (bps_cnt == 8'd12 && (START_BIT > 2)))
		uart_state <= 1'b0;
	else
		uart_state <= uart_state;		

endmodule


这边是发送端的模块:

/***************************************************
*	Module Name		:	uart_byte_tx		   
*	Engineer		   :	小梅哥
*	Target Device	:	EP4CE10F17C8
*	Tool versions	:	Quartus II 13.0
*	Create Date		:	2017-3-31
*	Revision		   :	v1.0
*	Description		:  串口发送模块设计
**************************************************/

module uart_byte_tx(
	Clk,       //50M时钟输入
	Rst_n,     //模块复位
	data_byte_tx, //待传输8bit数据
	send_en,   //发送使能
	baud_set_tx,  //波特率设置
	Rs232_Tx,  //Rs232输出信号
	Tx_Done,   //一次发送数据完成标志
	uart_state //发送数据状态
);

	input Clk;
	input Rst_n;
	input [7:0]data_byte_tx;
	input send_en;
	input [2:0]baud_set_tx;
	
	
	
	
	output reg Rs232_Tx;
	output reg Tx_Done;
	output reg uart_state;
	
	reg bps_clk;	//波特率时钟
	
	reg [15:0]div_cnt;//分频计数器
	
	reg [15:0]bps_DR;//分频计数最大值
	
	reg [3:0]bps_cnt;//波特率时钟计数器
	
	reg [7:0]r_data_byte_tx;
	
	localparam START_BIT = 1'b0;
	localparam STOP_BIT = 1'b1;
	
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		uart_state <= 1'b0;
	else if(send_en)
		uart_state <= 1'b1;
	else if(bps_cnt == 4'd11)
		uart_state <= 1'b0;
	else
		uart_state <= uart_state;
	
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n) begin
		r_data_byte_tx <= 8'd0;
		end
	else if(send_en) begin
		r_data_byte_tx <= data_byte_tx;
			
		end
	else
	begin
		r_data_byte_tx <= r_data_byte_tx;
	end
	
	
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		bps_DR <= 16'd5207;
	else begin
		case(baud_set_tx)
			0:bps_DR <= 16'd5207;
			1:bps_DR <= 16'd2603;
			2:bps_DR <= 16'd1301;
			3:bps_DR <= 16'd867;
			4:bps_DR <= 16'd433;
			default:bps_DR <= 16'd5207;			
		endcase
	end	
	
	//counter
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		div_cnt <= 16'd0;
	else if(uart_state)begin
		if(div_cnt == bps_DR)
			div_cnt <= 16'd0;
		else
			div_cnt <= div_cnt + 1'b1;
	end
	else
		div_cnt <= 16'd0;
	
	// bps_clk gen
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		bps_clk <= 1'b0;
	else if(div_cnt == 16'd1)
		bps_clk <= 1'b1;
	else
		bps_clk <= 1'b0;
	
	//bps counter
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)	
		bps_cnt <= 4'd0;
	else if(bps_cnt == 4'd11)
		bps_cnt <= 4'd0;
	else if(bps_clk)
		bps_cnt <= bps_cnt + 1'b1;
	else
		bps_cnt <= bps_cnt;

	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		Tx_Done <= 1'b0;

	else if(bps_cnt == 4'd11)
		Tx_Done <= 1'b1;

	else
		Tx_Done <= 1'b0;
		
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		Rs232_Tx <= 1'b1;
	else begin
		case(bps_cnt)
			0:Rs232_Tx <= 1'b1;
			1:Rs232_Tx <= START_BIT;
			2:Rs232_Tx <= r_data_byte_tx[0];
			3:Rs232_Tx <= r_data_byte_tx[1];
			4:Rs232_Tx <= r_data_byte_tx[2];
			5:Rs232_Tx <= r_data_byte_tx[3];
			6:Rs232_Tx <= r_data_byte_tx[4];
			7:Rs232_Tx <= r_data_byte_tx[5];
			8:Rs232_Tx <= r_data_byte_tx[6];
			9:Rs232_Tx <= r_data_byte_tx[7];
			10:Rs232_Tx <= STOP_BIT;
			default:Rs232_Tx <= 1'b1;
		endcase
	end	

endmodule

现在这个是顶层模块,顶层模块小梅哥用了一个按钮的模块,让我给改掉了,因为我这边需要收到一个字节然后判断玩返回一个特定的值,所以按钮模块在主模块中我给注释掉了,这样的话子模块也没用到就不发了。
在下面的代码的两段 // 和 /// 之间是可以改变的数据,比如你收到什么,判断一下对不对然后再返回一个你想要的值。(说的有点白话,哈哈 因为我自己确实也是一个小白,但是就想找到一个可以使用的模块让它先动起来然后再研究。。。)

/***************************************************
*	Module Name		:	uart_rx_top		   
*	Engineer		   :	小梅哥
*	Target Device	:	EP4CE10F17C8
*	Tool versions	:	Quartus II 13.0
*	Create Date		:	2017-3-31
*	Revision		   :	v1.0
*	Description		:  串口接收顶层设计
**************************************************/

module uart_rx_top(
			
			led1,
			led2,
			led3,
			led4,
			
			
			Clk,     //模块时钟  
			Rst_n,   //模块复位
			Rs232_Rx, //RS232数据输入
			Rs232_Tx,  //Rs232输出信号
			key_in0,   //按键控制输入
			led        //数据发送状态			
			
		);

	input Clk;
	input Rst_n;
	input Rs232_Rx;
	
	output reg led1;
	output reg led2;
	output reg led3;
	output reg led4;

	input key_in0;
	
	output Rs232_Tx;
	output led;
		

		wire send_en;
	wire [7:0]data_byte;
	wire key_flag0;
	wire key_state0;
	
	reg [7:0]data_byte_tx;
	reg [7:0]data_rx_r;
	wire [7:0]data_rx;
	wire Rx_Done;
	
	
	assign send_en = RXDN;

	reg [4:0] cnt;
	reg RXDN;
		always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		begin
			cnt <= 5'd0;
			RXDN <= 1'b0;
		
		end
	else if(Rx_Done)
		cnt <= cnt + 1;
	else if(cnt == 5'd1)
	begin
		RXDN <= 1;
		cnt <= 0;
	end
	else 
		RXDN <= 1'b0;
	
	
	
	///
   //assign send_en = key_flag0 & !key_state0;	


	uart_byte_rx uart_byte_rx(
		.Clk(Clk),
		.Rst_n(Rst_n),
		.baud_set(3'd0),
		.Rs232_Rx(Rs232_Rx),

		.data_byte(data_rx),
		.Rx_Done(Rx_Done)
	);
		uart_byte_tx uart_byte_tx(
		.Clk(Clk),
		.Rst_n(Rst_n),
		.data_byte_tx(data_byte_tx),
		.send_en(send_en),
		.baud_set_tx(3'd0),

		.Rs232_Tx(Rs232_Tx),
		.Tx_Done(Tx_Done),
		.uart_state(led)
	);
	
	key_filter key_filter0(
		.Clk(Clk),
		.Rst_n(Rst_n),
		.key_in(key_in0),
		.key_flag(key_flag0),
		.key_state(key_state0)
	);
//	ISSP issp(
//		.probe(data_rx_r),
//		.source()
//		
//	);
		

		
		
	always@(posedge Clk or negedge Rst_n)
	if(!Rst_n)
		begin
		data_rx_r <= 8'D0;
					led1 <= 1'b0;
					led2 <= 1'b0;
					led3 <= 1'b0;
					led4 <= 1'b0;
		end
/开始
	else //if(Rx_Done)
		begin
			data_rx_r <= data_rx;
						//data_rx_r <= data_rx;
			if(data_rx_r == 8'h10)
				begin
					led1 <= 1'b0;
					led2 <= 1'b1;
					led3 <= 1'b0;
					led4 <= 1'b1;
					data_byte_tx <= 8'hFF;

				end
	else if(data_rx_r == 8'h53)
				begin
					led1 <= 1'b1;
					led2 <= 1'b1;
					led3 <= 1'b0;
					led4 <= 1'b1;
					data_byte_tx <= 8'hB4;

				end
	else if(data_rx_r == 8'h54)
				begin
					led1 <= 1'b0;
					led2 <= 1'b1;
					led3 <= 1'b1;
					led4 <= 1'b1;
					data_byte_tx <= 8'h00;

				end
	else if(data_rx_r == 8'h11)
				begin
					led1 <= 1'b0;
					led2 <= 1'b1;
					led3 <= 1'b0;
					led4 <= 1'b0;
					data_byte_tx <= 8'hF0;

				end
	      else
				begin
				
					led1 <= 1'b1;
					led2 <= 1'b1;
					led3 <= 1'b1;
					led4 <= 1'b1;
					data_byte_tx <= 8'h66;
				end
		end
	
/结尾
		
		
//	always@(posedge Clk or negedge Rst_n)
//	if(!Rst_n)
//		data_rx_r <= 8'd0;
//	else if(Rx_Done)
//		data_rx_r <= data_rx;
//	else
//		data_rx_r <= data_rx_r;
//		
endmodule

去年开始学FPGA,学了一两个月就扔下了,现在又想开始试试。。。先发一个单字节收发的给和我一样的小白练习一下,等我学会了奇偶校验和多字节的收发我在把代码补上吧。。。
B站的开发视频挺好的,讲的很详细,之前一直以为B站就是二次元和鬼畜,前几天才注册的B站账号。
草率了。。。。

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

孔小贱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值