自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

学而不思则罔,思而不学则殆

个人笔记:not for everyone

  • 博客(263)
  • 资源 (2)
  • 收藏
  • 关注

转载 USB协通讯议--深入理解

转载来自:USB协通讯议–深入理解文章好,图片质量好。转载留档备份。0. 基本概念 一个【传输】(控制、批量、中断、等时):由多个【事务】组成; 一个【事务】(IN、OUT、SETUP):由一多个【Packet】组成。 USB数据在【主机软件】与【USB设备特定的端点】间被传输。【主机软件】与【USB设备特定的端点】间的关联叫做【pipes】。一个USB设备可以有多个管道(pipes)。 1. 包(Packet) 包(Packet)是

2021-03-11 16:58:52 450

原创 王者荣耀 露娜 技巧-教学-总结

文章目录参考教程技巧和个人理解连招训练方法出装顺序,赞同参考教程节奏顺序团战参考教程王者荣耀:国服榜一露娜深度教学(月下无限连/实战案例分析)技巧和个人理解连招训练方法连招操作,肌肉记忆。强烈推荐训练营,一对一,可以选择对手 牛魔。因为血量后,而且有位移技能(锻炼露娜不断大)。连招顺序,尽量采用手感觉按键节奏。不是背数字,锻炼肌肉不是大脑。技能穿插普攻,不需要等待,只需要点击技能,然后点击A键,电脑会自己按顺序执行。注意网络延迟等手感,满足连招期望就好。2a和3a是固定的,因为2和3

2021-03-10 14:08:20 1348 1

转载 转载 Org-mode 简明手册

转载来自:https://www.cnblogs.com/Open_Source/archive/2011/07/17/2108747.html#sec-4-1Org-mode 简明手册 UP | HOME Org-mode 简明手册Table of Contents1 简介1.1 序1.2 安装1.3 激活1.4 反馈2 文档结构2.1 大纲2.2 标题2.3 视图循环2.4 移动2.5 结构编辑2.6 稀疏树2.7 文本列表2.8

2021-03-02 10:11:00 599

原创 CRC校验的原理、算法、电路结构和verilog代码实现

文章目录1. CRC校验的原理2. CRC算法3. 电路实现原理1. CRC校验的原理CRC,即循环冗余校验。能检错,能纠正。常用于:外设接口通讯的数据校验。主要原理就是二进制的取余过程。二进制数的取余,基础是二进制数的除法,二进制数的除法,基础是XOR,异或。以后,再遇到CRC问题, 按下述步骤操作即可。2. CRC算法举例,条件有,数据串:1101011011生成多项式:x6 + x4 + x2 + x + 1【最高次方是6,即此例题是CRC-6;常见CRC-16/CRC-32】

2021-02-25 14:54:16 9651 4

原创 excel公式 - 替换/16进制转换/字符串合并等

公式:SUBSTITUTE(A2,“0x”,"")HEX2DEC(B2)*2DEC2HEX(C2,6)“0x1f”&D2

2020-11-27 15:53:49 2570

原创 三阶魔方初学总结

https://v.youku.com/v_show/id_XMzk0NDAxMzk3Ng==.html十字白一层全白第二层归位倒T,在右面远逆回回白归位上层全黄分情况a. 九点指针;顺左上右下逆b. 点:顺上左下右逆c. 横:顺上左下右逆d. 小鱼1:黄在右。头左上方:下右上右下右右上e. 小鱼2:黄在左。头左下方:上左下左上左左下e. 二碰四不碰,先变成小鱼最上层...

2019-10-01 16:19:55 728

原创 python常用脚本模板:数组:正则表达式re.split/re.search/re.sub:调用shell命令:读写文本

python常用脚本模板:数组正则表达式re.split/re.search/re.sub调用shell命令读写文本#!/usr/bin/env python# readme# 1. print need () in python 3.x# 2. recommend re.split, no string.split# 3. print will add "'n" defa...

2019-09-16 18:00:14 783

原创 svn与redmine issue挂钩的方法

通过svn pre-commit方法,限制svn提交log,必须包含关键词 “ #213 ”这样就可以产生 #213 的redmine issue链接通过redmine的版本库,就可以看到svn每次提交的log,都有对应的issue号...

2019-07-05 23:15:26 910

原创 svn提交,限制上传大小,限制提交log为空的情况

还要注意,默认svn hook都是不存在的。因为只有文件名去掉.tmpl,才有意义。而且要必须有可执行权限。

2019-07-05 23:11:51 3346

原创 mac OSX安装redmine及管理员账号的设置

bitnami redmine下载mac版本。安装完成后,默认会启动redmine.app;在redmine app里,按顺序操作:a) general->start;b) services->start all;c) general->go to application;发现在redmine网页上,注册需要管理员认可。但是又不知道管理员的账号密码。于...

2018-11-03 15:56:54 2746 2

原创 linux redmine安装及插件安装的方法总结

下载(RHEL版本所限,安装的是2.5.2 bitnami redmine)Install Redmine, Download Redmine Install Redmine, Download Redminehttps://bitnami.com/stack/redmine/installer这个网址,只有最新版。忘记在哪里下载的旧版本bitnami redmine了。不过是从百度里搜...

2018-10-21 16:37:30 1713

原创 芯片CP/FT测试的基本概念理解

都需要做功能级别测试的。chip probing基本原理是探针加信号激励给pad,然后测试功能。 a. 测试对象,wafer芯片,还未封装; b. 测试目的,筛选,然后决定是否封装。可以节省封装成本(MPW阶段,不需要;fullmask量产阶段,才有节省成本的意义)。 c. 需要保证:基本功能成功即可,主要是机台测试成本高。高速信号不可能,最大支持100~400Mbps;高精度的...

2018-08-13 20:06:50 29824

原创 tcsh补全、纠正的设置

在~/.tcshrc中,可以配置以下的普通补全:if ($?prompt) then # 仅对交互式Shell生效 set autolist # 按下Tab键直接补全 set complete = enhance # 补全时忽略大小写,认为-与相同,将.、-、作为单词分隔符; ...

2018-08-12 10:08:18 2196

原创 org-mode报错:export html等格式时,错误args out of range

错误概述:参数超出范围。 解决过程:相关命令没有任何改动。 原因:org的内容有问题。当前遇到的是表格写法,不符合org-mode的规则。

2018-05-16 20:21:59 486

转载 使用LaTex制作个人简历(使用CTex套装和moderncv模板)

使用LaTex制作个人简历(使用CTex套装和moderncv模板) - CSDN博客 https://blog.csdn.net/iwbfaith/article/details/62235585源代码: E:\CTEX\project\moderncv\examples\template-zh.tex...

2018-04-22 20:12:23 3336 1

原创 linux hostname设置步骤

查询hostname设置步骤其它相关点hostid/etc/hosts以RHEL 6.5为例。查询hostnameuname -ahostname设置步骤vim /etc/sysconfig/network;修改hostname为期望值;保存后退出。vim /etc/hosts;修改或增加hostname即主机名对应的IP地址。保存后退出。s...

2018-04-07 09:51:21 8844

原创 synopsys license破解及使用遇到的难题总结

synopsys license破解use daemon;决定license使用的时候,是否需要lmgrd启动。 RHEL linux下安装license生效时,不要随便调整系统时间。特别是不要把时间提前。否则license会检测到,并认为license非法。lmgrd/snpslmd,两个命令,与license有关。最好是选择一个版本(比如一个工具下的),而且是版本尽量高。...

2018-03-21 20:26:21 21150 4

原创 bash,等待上一流程程序生成某文件后,再执行下一个流程的程序

#!/bin/bashwhile [ 1 ]doif [ -f "/goke/DE/xxx.sign" ]then sleep 10m echo "syn_pro have already generate xxx.sign." rm -rf /goke/DE/xxx.sign echo "RUN vivado COMMAND" cd /goke/DE/vivado_run ...

2018-03-14 21:50:09 4332

原创 右脑记忆法的个人理解

先写个提纲。右脑记忆法 王峰、袁文魁等的记忆方法基础。也是大脑锦标赛,记忆大师的通用方法学。说是右脑记忆,其实就是图像记忆。因为形象化的信息,更容易记忆。 最强大脑节目,记忆是很关键的一项能力。《走进科学》—记忆有魔方 http://tv.people.com.cn/GB/166419/15232305.html CCTV10科教频道,从科学和记录角度,明确右脑记忆法,有意义,简单...

2018-03-12 00:04:55 4414

原创 练什么有利于防身

短跑拳击

2018-03-10 21:00:26 404

原创 综合约束命令group_path的理解

定义特点意义利用group_path命令,可以控制时序优化的目标范围。相关命令参考文档定义设计的时序路径分组,叫做path groups。 默认,每个时钟域对应一个path group。特点DC综合时序优化,每个path group,先优化关键路径(即最差的时序路径),然后才会优化接下来最差的时序路径。关键路径找不到优化解决方案,就会报告时序...

2018-02-23 00:25:27 15465 2

转载 I2C接口与SPI和UART接口的区别

一SPI I2C UART通信速率比较二串行和并行同步和异步的区别三I2C接口与串行接口的区别四总线接口 UART I2C SPI USB的异同点五串口通信UARTSPII2C区别UART通用异步收发器I2CUART总结一、SPI I2C UART通信速率比较:SPI > I2C > UART 1、同步通信>异步通信; 2、同步通信...

2018-02-14 20:56:11 37121

转载 对I2C总线的时钟同步和总线仲裁的深入理解(转)

I2C总线接口内部结构时钟同步总线仲裁每一个IIC总线器件内部的SDA、SCL引脚电路结构都是一样的,引脚的输出驱动与输入缓冲连在一起。其中输出为漏极开路的场效应管、输入缓冲为一只高输入阻抗的同相器[1]。这种电路具有两个特点: ①由于SDA、SCL为漏极开路结构,借助于外部的上拉电阻实现了信号的“线与”逻辑; ②引脚在输出信号的同时还将引脚上的电平进行检测,检测是否与刚才...

2018-02-14 20:47:41 1397

转载 上拉电阻大小选择

(一)上拉电阻: 1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。 2、OC门电路必须加上拉电阻,才能使用。 3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。 4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低...

2018-02-14 20:43:14 12418

原创 nLint忽略检查指定的filelist

根据nLint工具的文档描述: -df是不关心disgard指定文件(文件,即*.v) -uf是不关心制定文件里的文件列表,(文件列表,即*.f;文件,即*.v)但是,经过试验证明, 1. include的调用,nlint不识别; 2. -uf里的文件列表,也不支持filelist的嵌套。综上所述,最佳方式是。 1. find /project/last_rls/common-l

2018-01-25 21:57:14 4751 1

原创 perl实现,匹配并打印一个段落

有一个应用场景,实现后,觉得还是记录下来。以后还会遇到的。举例根据## ==这样的行,匹配并打印该段落范围的所有行。 另外一点,打印出的内容,只允许存在max最大值和min最小值。########## sdsdfsdf## 1123123########## ======== 1sdfs ======## ====== 2saas ======max 0.2323max

2018-01-16 23:43:05 2178

原创 perl实现动态分组匹配

举例perl代码实现举例有一个比较常见的情景,比如excel里,一行的列数目是动态的。怎么获取这些动态的每一列数据呢?简单例子, my $str=”5.4..032…..33442.442….23..2323..2323..3434..3434..”; 中间有任意个点分隔,但是不知道会有多少个匹配的数字。怎么用perl实现,并打印出每一个匹配的数字?perl代

2018-01-16 23:31:25 631

原创 emacs org-mode嵌入graphviz代码,并执行

一、 graphviz是一种依赖代码实现的画图工具二、 org-mode是emacs里的一种文档编辑模式。堪称神器。三、 org-mode嵌入graphviz代码,并执行意义:步骤:问题一、 graphviz是一种依赖代码实现的画图工具特点: 1. 跨平台支持; 2. 代码书写简单;维护图片方便 3. 常用来画流程图 3. 生成图片种类丰富,支持矢量画...

2018-01-14 21:25:43 2888

原创 小米盒子网速慢,有可能是路由器太老。

网上说DNS之类的,试了没有效果。最终的有效解决办法: 恢复路由器出厂设置。听说是因为路由器太老,功率变差。恢复路由器之后,会一段时间改善;换一个路由器是正解。

2018-01-14 15:19:59 3942

原创 windows下,本地硬盘搜索工具everything。适合windows下搜索文件名。

支持模糊匹配,搜索速度快,非常快。 适合windows下搜索文件名。everything官网:voidtools http://www.voidtools.com/ Windows下的搜索神器 —— everything - meteoric_cry - 博客园 https://www.cnblogs.com/meteoric_cry/p/7157878.html

2018-01-14 12:38:35 4757

原创 bash/perl判断文件是否存在,以及常见应用场景

一、 源代码实现个人常见场景,大多出现在linux shell或者perl里。#!/bin/bashfile="/etc/hosts"if [ -f "$file" ]then echo "$file found."else echo "$file not found."fi#!/usr/bin/perl -w my $file = "/etc/hosts"

2018-01-13 09:28:14 2149

原创 perl初始化数组,@max=()和@max=undef,以及@max="";三者都是不一样的。

定义数组 my @max=(); 和 my @max=undef; 竟然不是一回事。 在push @max,"ssss"命令之后, 第一种写法的$max[0]="ssss" 第二种写法的$max[0]是undef,$max[1]="ssss"百度中,也有 人遇到类似的问题: 写了个包含数组的几句代码,发现始终最终返回的数组大小始终不对。后来才发现犯了个错误。 开始时

2018-01-09 23:17:47 2116

转载 grep、sed、awk、perl等对正则表达式的支持的差别

以perl的正则为基准,不同的用法以粉红色标出。 转载来自 grep、sed、awk、perl等对正则表达式的支持的差别 - 流浪的洋葱 https://my.oschina.net/onionsheep/blog/346926

2018-01-01 19:06:12 2073

原创 svn版本号,命令中-r 2和@2的区别

问题假设有一个svn repository是,svn://192.168.2.6/project。 在版本1~20的svn里,存在 svn://192.168.2.6/project/branches/branch_test 在版本21时,由于branches到trunk的merge操作已完成,该分支没有存在的必要了(后续svn版本,仍想使用这个branch_test);于是删除了该分支。【这

2017-12-31 00:28:36 1629

原创 perl实现verilog ifdef所在域的判断

功能描述perl实现verilog ifdef所在域的判断。 1. emacs verilog-mode用于实例化很方便;但是常见项目,均存在大量ifdef的预编译命令。而emacs verilog-mode不支持ifdef。 2. 手动实例化,往往带来不可预料的错误。功能演示代码#!/usr/bin/perluse strict;use warnings;open my $ifile,"<

2017-12-15 23:41:02 1432

原创 彻底删除SVN版本库某一文件夹或文件

基础描述要彻底删除SVN版本库某一文件夹或文件,可采取这种方法(举例说明): 例:假设SVN库路径为E:/svn/project,库中的目录结构为TrunkSoftware/test.exe删除Software/目录下的test.exe文件 操作步骤为: 1、 把SVN库dump出来 2、 使用svndumpfilter过滤掉要删除的文件 3、 新建一个SVN库

2017-12-10 13:52:33 14680 1

原创 formality的一点经验总结

一 总结二 netlist和svf的配套一致三 formality遇到的坑四 pattern match视角五 IPIOstandcell的处理一、 总结:解决dc综合出现fail点的基本思路: 1. set synopsys_auto_setup true,记得undriven的选项单独再设置一下,undriven要识别成不定态x,对设计验证更充分。 2. set_s

2017-12-08 23:30:47 31205 8

原创 以太网ethernet MAC RGMII的一点总结

百度脑图 http://naotu.baidu.com/file/dd0ee94bbe818818c6b4850e04d3ff44?token=1d4a7f4baa27e97a背景定义 12pins,数量少,成本低,频率要求高 ctrl+phy的结构,是常见高速接口的结构形式。phy可以外置。 rgmii即reduce Gigab...

2017-11-04 20:44:37 20017

原创 linux tmux的经验总结

背景主要操作实现安装概念了解快捷键tmux重启后恢复终端layout界面的方法如果有多个用户比如adminqilei等新建windows或者pane分屏保留目录路径复制模式支持鼠标模式窗口列表居中否则session和windows名称会重叠其它背景linux下工作环境,经常见到打开几十个终端,而且不舍得关闭的情况。即使redhat支持终端标签页...

2017-10-05 21:25:02 1672

原创 perl内置的DATA文件句柄

当前,个人使用,最常见的perl脚本应用场景是针对文本的操作。 编写perl脚本的时候,经常要手动创建一个待处理的文本。 其实,perl内置了一个DATA文件句柄,就是为了perl脚本测试用的。 mark,记录一下。#!/usr/local/bin/perluse strict;use warnings;while(<DATA>){ print $_;}__DATA__

2017-09-23 08:54:38 1430

wmctrl 1.07

linux操作系统下,命令行下的窗口管理工具。 比如窗口焦点、窗口位置等。

2016-09-24

wmctrl-1.07.tar.gz

wmctrl是一个命令行工具,它完全通过命令行命令来控制窗口行为。 该工具,网络下载资源稀缺。

2016-09-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除