create_generated_clock

参数source和master_clock区别

source是时钟源的pin,工具会根据source pin找到master时钟,generated时钟相位是基于source pin描述的。
master_clock是时钟源的时钟名称,而且要伴随参数-add,表明一个master_clock,有多个source pin路径。

create_clock叫master时钟;
create_generated_clock叫generated时钟。

总之,create_generated_clock 是用来说明generated clock与source pin的相位(边沿)关系。同时 根据source pin 找到master clock以及source pin 和master clock的关系, 最终会确定generated clock和master clock的相位(边沿)关系。

create_generated_clock 介绍

create_generated_clock 是用来说明generated clock与source clock的相位(边沿)关系,
同时根据source clock找到master clock以及source clock 和master clock的关系,
最终会确定generated clock和master clock的相位(边沿)关系。

相对create_clock的区别,是继承了master_clock的相位特性。

在genereated clock的时候一定要明确generated clock与master clock的相位关系(rise->rise or rise->fall or fall->rise or fall->fall),
这些关系由桥梁source clock嫁接,所以需要名曲generated clock和source clock,以及source clock和master clock的关系,如果根据声明找到的generated clock
和master clock的关系和实际的关系不一致,否则会造成一些分析错误。

如:sta的时候找不到generated clock和source clock相位关系,会将generated clock的source latency 设置为0

如下图:
这里写图片描述
举例:注意:CLKdiv2的source,应为~CLK,CLK的反相。

create_clock -period 10 CLK
create_generated_clock \
	-name CLKdiv2 \
	-divide_by 2 \
	-source CLK \
	[get_pins Udiv/Q]

这个例子,会导致CLKdiv2和CLK的相位有180°的相位差,这个约束与设计是不符合的。这个例子是有问题的。
根据声明,generated 和master clock的关系如下(工具会根据source clock 找到master clock,并确定source clock 和master clock的关系,当前source clock即master clock)。
下图:(原作者画成了3分频,不过原理一样,就不改了。)
这里写图片描述
而实际上的,generated 和 master clock的关系如下
这里写图片描述
解决方法有2种:
1.改变generated clock的source,即让generated clock和source clock的路径唯一且单一(单一是指,声明的相位边沿关系和实际的相位边沿关系一致)。
一般做法就是将source clock设置在触发器的clock端。如下:

 create_generated_clock \
	 -name CLKdiv2 \
	 -divide_by 2 \
	 -source [get_pins Udiv/CLK] \
	 [get_pins Udiv/Q]

这样generated clock和source clock的关系和声明的一致。
工具会根据声明的source clock 找到它的master clock,同时确定source clock和master clock相位相反的关系,
由此就确定了generated clock和master clock的关系。

2.直接声明generated clock和master clock的相位边沿关系。如下:

create_generated_clock \
	-name CLKdiv2 \
	-edges {2 4 6}
	-source CLK \
	[get_pins Udiv/Q]

create_generated_clock有多个master_clock的情况

这个情况,需要特别处理。
这里写图片描述

create_clock -period 10 CLK
create_generated_clock \
	-name CLKdiv2 \
	-divide_by 2 \
	-source FFdiv2/CLK \
	UMUX/Y \
	-master CLK -add
create_generated_clock \
	-name CLKdiv4 \
	-divide_by 4 \
	-source FFdiv4/CLK \
	UMUX/Y \
	-master CLK -add
set_clock_groups -physically_exclusive \
	-group {CLK} \
	-group {CLKdiv2} \
	-group {CLKdiv4}

此时,PT时序分析报告如下:
这里写图片描述
可以知道source latency 选择了不同路径
这里写图片描述
所以在声明generated clock的时候不仅要保证generated clock 和master clock 相位边沿关系和实际的一致,还要保证generated clock和master clock的路径唯一。
如下图,列出了可以声明唯一generated clock点。
这里写图片描述
同时考虑到选择器之前各个时钟间有crosstalk,选择器之后没有crosstalk,所以声明如下:
这里写图片描述
总而言之,create generated clock的时候要保证2点
1.一致性:声明的generated clock和master clock相位边沿的关系要和实际的一致。
2.唯一性:确保generated clock和master clock的路径的唯一。

满足上面2点,在STA分析的时候就不会造成不必要的误解。

【我不理解的地方,为什么不在UMUX之前定义create_generated_clock?这个再研究吧!如果我理解的是对的,那么下面这种情况,也觉得没有必要单独分析。】

create_generated_clock的时钟占空比不是50%的情况。

深入create_generated_clock(3) - ☜左左右右☞ - 博客大巴
http://www.blogbus.com/bb2hh-logs/87235404.html

转载来自:
深入create_generated_clock(1) - ☜左左右右☞ - 博客大巴
http://www.blogbus.com/bb2hh-logs/87231705.html

  • 16
    点赞
  • 172
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值