cordic算法原理及verilog实现

本文介绍了CORDIC算法的基本原理,包括坐标旋转过程和迭代公式,并详细阐述了如何在FPGA上采用Verilog进行设计。通过十六级流水线实现算法迭代,同时处理输入角度的符号和范围转换。文中还提供了输入示例及对应的sin和cos计算结果。
摘要由CSDN通过智能技术生成

cordic算法原理及verilog实现

  1. 算法原理
    这里写图片描述
    这里写图片描述
    这里写图片描述
    由此我们可以推出,当(x0,y0)与(x1,y1)的夹角为Θ时,满足如下关系:
    这里写图片描述
    由此可得,当(x1,y1)长度为1时,这里写图片描述,当根据坐标旋转法旋转Θ角度后,坐标点变为(1,0)。因此,根据cordic算法求这里写图片描述就是将初始线段旋转至(1,0)后,所得的(x,y)的值。
    下面,我们将这些旋转步骤细化,看看每一步是如何工作的。
    假设第n次旋转为顺时针旋转时,会得到如下结果:
    这里写图片描述
    此时提取这里写图片描述会得到如下公式:
    这里写图片描述
    令每次旋转的角度Θ满足这里写图片描述,则每次旋转最终的角度Θ满足:这里写图片描述,且这里写图片描述当顺时针旋转时S_n=1,逆时针旋转时S_n=-1。结合以上公式我们可以得到:这里写图片描述 因此每次迭代这里写图片描述都能提出来,最后他们的乘积是个常数K:这里写图片描述
    因此我们的计算过程是从点(1,0)开始,每次旋转这里写图片描述角度,Xn与Yn每次只需做移位运算即可。最终当这里写图片描述等于Θ时,所得到的这里写图片描述即为这里写图片描述

  2. 基于FPGA的算法设计
    采用十六位补码的形式来表示输入角度和输出结果。输入角度采用角度制。十六位补码形式为:第一位表示符号位,第二位到第九位共八位表示整数位,第十位到第十六位共七位表示小数位。
    采用十六级流水线的形式实现算法。每级流水线实现一次迭代。迭代开始之前需要先计算满足tan⁡θ=2^(-n)的Θ的值,并将他们转换成角度的表示形式存储起来作为中间变量。
    在开始迭代之前,还要先将输入的角度转换为第一象限0-90度之间的角度进行迭代计算,并用一个flag位标识角度的正负。若为输入角度为负,则flag值为1,若角度为正,则flag值为0。此外还有九组临时变量x、y、z分别用来存储对应的横坐标、纵坐标以及剩余角度。
    开始迭代之后,每次迭代都要根据cordic算法推出的公式计算x、y、z的值并将它们存储在中间变量中。
    迭代完成之后,根据flag以及x8、y8的值计算最终的结果。如果flag值为1说明输入角度为负数,则将sinΘ等于(~y8+1),否则sinΘ等于y8。无论flag值为多少,cosΘ均等与x8。

  3. verilog代码实现

module cordic_2(rst,clk,datain,sin,cos);
input rst,clk;
input[15:0] datain;
output[15:0]sin,cos;
reg[15:0]sin,cos;

parameter[15:0] rot1 = 16'b0000110101001000,
rot2 = 16'b0000011100101110,
rot3 = 16'b0000001110010000,
rot4 = 16'b0000000111001010,
rot5 = 16'b0000000011100101,
rot6 = 16'b0000000001110011,
rot7 = 16'b0000000000111001,
rot0 = 16'b0001011010000000;

//parameter[15:0] k = 16'b0000000001001110;
parameter[15:0] k = 16'h004d;

reg[15:0] x0,y0,z0;
reg[15:0] x1,y1,z1;
reg[15:0] x2,y2,z2;
reg[15
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值