一、vivado中建立工程,创建zynq嵌入式系统

打开vivado,点击create New Project,
这里写图片描述
下边的建立子目录工程一定要勾选。点击下一步:
这里写图片描述
选择第一个,下方可选项不要勾选。点击下一步:
这里写图片描述
选择verilog语言,不添加文件,然后一直点击下一步:到了选板子的步骤,直接点击boards,
选择如图:
这里写图片描述
完成。
这里写图片描述

创建zynq嵌入式系统:

创建一个block Design ,在Flow Navigator区域展开IP Integrator,选择create Block Design
这里写图片描述
然后工作域将会打开ip Integrator 的图表画布,我们将在空白区域像画画一样构建自己的系统。这里操作的最小单位为IP核,xilinx提供的免费IP核可以直接添加使用,用户也可以自定义IP核。
这里写图片描述
在空白板上右键点击Add IP ,在search中输入zynq ,在搜索结果中双击ZYNQ7 Processing  System 添加PS端到IP核画布。
这里写图片描述
在TCl  Console我们将会看到如下信息:
这里写图片描述
其实我们图形化界面所做操作,都会转化为TCL命令执行。
要使ps模块在zedboard中工作,还要对其进行配置,双击ps
这里写图片描述
点击Presets -> Zedboard ,使用vivado对zedboard提供的默认配置,点击ok
这里写图片描述
单击DDR接口,出现笔状时右键,选择 Make External ,FIXED_IO使用同样办法。
这里写图片描述
下面添加AXI GPIO IPcore到系统中。,右键单击空白处添加IP核,搜索栏输入GPIO,双击AXI GPIO 添加完成。
这里写图片描述
然后单击run connection automation 选择/axi_gpio_o/s_AXI,单击ok’有两个新IP核被自动添加了。
这里写图片描述
然后再单击run connection automation,Select Board Interface下选择leds_8bits.
这样就基本配置完成,IP Integrator会自动为AXI总线上的逻辑设备分配地址空间,这样ARM就可以寻址到该设备。如图:
这里写图片描述
为AXI GPIO分配64k的地址空间,基地址为0x41200000,保存工程。在Diagram窗口左侧工具栏,选择Validate Dsign 按钮,检查设计有效性。
这里写图片描述
至此,IP子系统我们已经设计完成了!!!下面我们将设计完成Block Dsign生成可以综合的HDL设计文件。
在Sources 窗口,右键zynq_system_1 选择Generate Output Products
这里写图片描述
单击generate,生成HDL源文件和相应端口的约束文件。再右击zynq_system_1,选择Create HDL Wrapper选型,单击ok’。这里vivado为IP子系统生成了一个顶层文件,使我们可以对系统进行综合、实现并生成比特流。
在Flow Navigator 中展开Program and debug ,单击generate Bitstream。单击ok

这里写图片描述
这一过程将持续很长时间,当完成后,会弹出一个对话框,选择open Implementation Design
这里写图片描述
将设计导入SDK,然后就可以对ARM编程,控制zedboard的led小灯了。
展开IP Integrator并单击 open Block Design 选择弹出的zynq_system_1.bd
执行file->export->export hardware for sdk 命令,弹出的对话框确保复选按钮被选上。
下一个博客将在sdk中完成对小灯的编程!这次博客结束!~~~好累!

论文查重|论文降重|免费降重|降重网站

  • 10
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
Zynq嵌入式系统开发是一种基于Xilinx的Zynq系列SoC(System on Chip)的嵌入式系统开发技术。Zynq SoC是一种将高性能处理器和可编程逻辑集成在一起的异构计算平台,具有强大的处理能力和灵活的可编程性。在Zynq嵌入式系统开发,可以通过编程FPGA部分来实现硬件加速、接口控制等功能,同时使用处理器部分来运行高级操作系统,实现复杂的控制和计算任务。 为了进行Zynq嵌入式系统开发,首先需要选择适合的开发板和工具链。Xilinx提供了丰富的开发板和软件工具,如ZedBoard、Vivado等。利用这些工具,可以进行FPGA开发、处理器软件开发以及两者之间的协同设计。 在进行Zynq嵌入式系统开发时,主要的工作包括硬件设计、软件开发和系统集成。硬件设计主要涉及FPGA部分的逻辑设计和IP核集成,可以使用HDL语言(如VHDL或Verilog)进行开发。软件开发则主要针对处理器部分,包括裸机编程、内核移植和驱动开发等。系统集成则是将硬件设计和软件开发进行整合,配置处理器和硬件加速逻辑,以及实现通信和数据传输等功能。 在Zynq嵌入式系统开发,还需要注意一些问题,例如功耗管理、时序约束和调试等。由于Zynq SoC集成了复杂的硬件和软件系统,因此需要充分考虑性能和资源的优化,以确保系统的稳定运行和高效能。此外,在调试过程可能面临硬件和软件联合调试的挑战,需要借助相应的工具和技术来辅助解决问题。 总体来说,Zynq嵌入式系统开发是一项复杂而具有挑战性的任务,但也具有广泛的应用前景。通过合理的设计和开发流程,可以实现高性能、灵活和可扩展的嵌入式系统

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值