ZYNQ-Linux开发之(二)Vivado工程搭建、Block Design设计搭建、PS、PL的IP核的使用配置

14 篇文章 4 订阅
7 篇文章 1 订阅

1、创建Zynq的Vivado工程

打开vivado 2018.3后,点击Create Project开始创建工程:

第一步为介绍说明,直接点击Next进入下一步:

设置工程名称和工程保存位置,名称只能是英文字母、下划线和数字,且以字母开头,保存的位置路径中不能含有中文及其他标点符号,设置完后点击Next:

保持默认设置,点击Next: 

在Search的文本框中输入具体芯片型号(根据原理图及硬件画图人员确定,有时原理图标注的并不准确),选择使用的芯片,点击Next:

进入新工程概览,确认无误后点击Finish,完成新建工程:

  

2、创建Block Design

2.1 创建Block Design

点击工程管理(PROJECT MANAGER)栏下IP INTEGRATOR的Block Design,弹出的对话框中保持默认,点击OK,进入Block Design的设计:

在Diagram框中添加工程所需的IP核资源,进行IP和的配置以及端口引出等:

添加ZYNQ的IP核,点击Diagram框中间的“+”号,在弹出的菜单中的Search处输入zynq,双击搜索出来的ZYNQ Processing System,完成Zynq芯片的IP和添加,同时Diagram右侧出现Address Editor选页:

2.2 配置PS资源

双击Zynq的IP核,进入Zynq资源的设置,默认进入第一项Zynq资源总览,图示了Zynq的可用资源(绿色部分代表可配置,双击可进入相应界面):

选择Peripheral I/O Pins,进入外围I/O管脚配置(PS提供的外围接口):

2.2.1 外围接口配置

选择Bank 0和Bank 1的电压值,一般设计中Bank 0为LVCMOS 3.3V,Bank 1为LVCMOS 1.8V,Peripheral区域设置PS的外围,直接勾选,并核对接口与原理图的管脚连线是否相符(Peripheral右侧的数字0~53表示的是PS的I/O管脚编号,EMIO表示使用PL的I/O管脚):

一般PS的设置比较通用,勾选后需要与原理图核对,若有出入请找硬件画图同事核实。

1. Quad SPI Flash:一般需要勾选,为Zynq配置的QSPI芯片的数据接口,用于存放Zynq的启动文件,选择Single SS 4bit IO(需要根据具体的QSPI手册确定),所占用的PS管脚一般使用默认;

2. Ethernet有两个可选,根据具体的需求选择,一般板卡前面板网口选择Ethernet 0(并非绝对),在根据原理图确定管脚是PS的还是PL的决定选择Enet0还是EMIO,展开后MDIO也同样根据原理图确定管脚是PS的还是PL的决定选择MDIO还是EMIO;

3. USB有两项可选,设计中基本会选择USB0作为USB口(USB1会与SD0的管脚冲突),用作接入U盘或读卡器:

 

4. SD有两个可选,一般设计中SD0为SD卡槽,SD1为EMMC,若为板卡存在EMMC芯片则SD0和SD1都需要勾选,无论是否有EMMC,SD0都是必须选择的。SD的常用配置如下(需要核对原理图):

5. SPI有两项,根据设计需要选用,同样需要注意管脚的位置是PS还PL;

6. UART有两项,目前几乎所有的设计都是将UART 1作为前面板的串口调试接口,因此必须勾选UART 1,管脚固定使用PS的48和49:

7. I2C有两项可选,根据设计需要选用,同样需要注意管脚的位置是PS还PL;

8. CAN、TTC、SWDT、PJTAG、TPIU在当前设计中几乎没有使用过,待以后使用再做补充

9. 最后勾选GPIO MIO和GPIO EMIO,表示剩余的PS和PL的I/O管脚可以作为普通GPIO使用。

以上完成Peripheral I/O Pins的设置完,可选择MIO Configuration进行管脚核对,电压、速率等的校对;

2.2.2 PS-PL Configuration

选择PS-PL Configuration,可以配置PS和PL之间交互接口的参数,根据需要进行配置,一般保持默认即可,有时需要配置串口波特率或配置HP接口:

2.2.3 时钟配置

选择Clock Configuration,进入时钟配置:

多数时钟保持默认设置即可,注意DDR的时钟可能需要根据后期系统启动情况调整,若系统启动不了或者报错,可能需要尝试降速。其他可能需要配置的是PL Fabric Clocks,根据之后添加PL的IP核所需的时钟确定,比如本工程的PL的IP核中有的需要100M时钟,有的需要200M时钟,有的需要125M时钟,还有的需要10M时钟,则可按下图设置,对于精度要求不高的IP核,还可以通过添加时钟的IP来进行时钟的倍频实现需要的时钟。

2.2.4 DDR参数配置

选择DDR Configuration,设置DDR,主要设置DDR的型号,根据原理图及与硬件同事确认,选择正确的DDR型号,其他选项一般保持默认即可:

2.2.5 中断配置

选择Interrupts,设置中断,一般按下图勾选即可,用于PL网口、PL IIC等的中断:

3.3 添加PL的IP核

设置完成后,点击OK即可,回到Diagram,此时ZYNQ的IP核会出现一些新的管脚: 

2.3.1 系统复位IP核

根据工程需要添加其他的IP核,一般Processor System Reset是一定要添加的IP核,点击“+”号搜索“reset”即可找到:

添加完后,可点击Run Block Automation进行自动引出端口:

点击Run Connection Automation进行自动连线:

2.3.2 GE网口IP核

继续添加其他IP核,如PL的GE网口(此处添加了2个PL的GE网口)。

此处仅举例说明,其他类型网口可参考“GE 网口”相关文档。

再次运行Run Block Automation和Run Connection Automation(全部勾选):

自动添加AXI DMA的IP核,双击AXI 1G/2.5G Ethernet Subsystem的IP核,设置参数:

选择1Gbps,PHY接口一般为RGMII、SGMII或1000BaseX,根据具体需求选择(不同PHY的连线可能不一样,需要根据原理图确认),MAC Features可保持默认,也可调整TX Memory Size和RX Memory Size:

Network Timing和Shared Logic一般保持默认即可,若两个网口都是RGMII,另一个网口的Shared Logic可以设置成“主从模式”:

时钟保持默认的100K即可(选200K貌似也没问题)

 添加concat,用于连接中断:

双击设置concat的端口数量(根据使用的中断数设置),此处设为13(除了网口还有AXI I2C等其他IP核需要中断): 

 

手动完成中断的连线,dout连到ZYNQ的IRQ_F2P,In端连接网口及DMA的中断:

手动修正其他时钟的连线:

自动整理布局:

其他网口具体设置和连线,可参考高速接口应用和调试-GE.docx 

2.3.3 AXI IIC IP核

添加AXI IIC核:

运行Run Connection Automation进行自动连线并手动连接中断:

需要注意的是,AXI IICIP核需要设置延时,若不设置,有的板卡在软件操作时会出现“Input/Output error”的错误,导致IIC接口无法正常通信,添加方法,双击AIX IIC,按下图设置,延时经验值为50

2.3.4 其他IP核

其他IP核的添加和连线步骤与上同,AXI Chip2Chip Bridge需要与FPGA确认是否使用Aurora,两边必须对称,AXI Chip2Chip Bridge的 IP核通常配置,wUser Width项自动生成,需要告知FPGA,FPGA端的Chip2Chip的这一必须与Zynq一致。

关于C2C的具体设置可参考“通用接口”中的C2C应用”相关文档。

2.4 连线验证

工程搭建好之后可以验证连线。

没有问题会弹出如下窗口,有问题会弹出错误窗口,根据错误信息修改工程,直至没有问题为止。

2.5 IP核地址设置

在Address Editor中设置IP核地址,大部分可以使用自动分配的方式生成,其中Chip2Chip的地址需要FPGA提供(同时需要注意“Range”),selectmap的地址基本约定不变,固定为0x43C00000。

3、工程编译

生成HDL Wrapper:

 

使用默认设置,点击OK,等待即可:

完成时Updating消失,生成 Output Products…,

使用默认设置,点击OK,等待完成:

综合实现: 

 

点击OK,右上角有绿色“转圈”:

完成实现,打开设计:

选择菜单的Layout->I/O Planning,进行管脚约束,主要是管脚号(与原理图一致)和电压值(通常选LVCOMS1.8或LVCOMS3.3,取决于管脚所在的bank电压): 

管脚约束需要对应原理图中的管脚,约束完成后,点击保存,创建XDC约束文件,输入XDC文件名,点击OK:

部分工程可能需要在.xdc中添加以下约束,否则编译网口会报错:

create_clock -period 8.000 -name ETH0_RGMI_rxc [get_ports ETH0_RGMI_rxc]

保存后生成bit文件:

使用默认配置,点击OK,等待生成bit文件,生成的bit文件在工程目录下的.run目录下的impl_1下。

希望大家点赞、收藏、关注哦!!!ヾ(o◕∀◕)ノ

  • 20
    点赞
  • 137
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
### 回答1: 要下载Xilinx原理图,需要按照以下步骤进行操作: 首先,打开Xilinx官方网站并登录账号。如果没有账号,需要先注册一个。 然后,进入下载页面,在页面上方的搜索框中输入需要下载的原理图的关键词,点击搜索按钮。 在搜索结果中找到对应的原理图,点击进入详情页面。在页面上找到并点击下载按钮。 接下来,系统会提示选择下载文件的版本和格式。根据自己的需求选择相应的版本和格式,点击确定。 下载开始后,需要耐心等待完成。下载速度取决于网络状况和文件大小。 下载完成后,打开下载的文件,即可查看Xilinx原理图。 需要注意的是,下载Xilinx原理图可能需要满足一定的条件,如购买相应的产品或拥有相应的权限。 同时,Xilinx官方网站可能会根据需求的变化和发布的新版本进行更新和调整,所以在下载过程中请注意查看相关文档或参考官方指南,以确保顺利下载所需的原理图。 ### 回答2: 要下载Xilinx的原理图,首先需要确保你已经安装了Xilinx Design Suite软件,并且已经正确连接了Xilinx的开发板和计算机。 步骤如下: 1. 打开Xilinx Design Suite软件。如果你已经安装好,可以在计算机上通过双击打开软件,或者在开始菜单中找到该软件并点击打开。 2. 在软件界面的顶部工具栏中,找到并点击"打开工程"按钮。这将打开一个对话框,允许你选择要打开的工程文件。 3. 在对话框中,浏览你的文件系统,找到存储原理图文件的目录。选择相应的工程文件,点击"打开"按钮。这将加载原理图工程并显示在软件界面上。 4. 在软件界面上,你可以使用不同的工具和选项来查看和编辑原理图。你可以使用鼠标来点击、拖动和选择不同的元件和连接线,也可以使用工具栏上的选项进行放大、缩小、移动等操作。 5. 当对原理图做出任何修改后,可以通过点击保存按钮将修改保存到原理图文件中。注意,保存文件是非常重要的,因为它将确保你的修改在以后的使用中得以保留。 通过上述步骤,你就可以成功下载Xilinx的原理图并在Xilinx Design Suite软件中查看和编辑了。下载原理图是硬件开发的重要一步,它将帮助你理解和分析电路设计,并进行后续的仿真和验证工作。 ### 回答3: Xilinx下载原理图是指将Xilinx FPGA芯片的原理图文件下载到FPGA开发板上进行配置和运行的过程。 首先,我们需要准备好FPGA开发板、计算机和JTAG下载线。然后,我们需要在计算机上安装Xilinx ISE或Vivado开发环境,以及相应的驱动程序。 接下来,我们将FPGA开发板和计算机通过JTAG下载线连接起来。JTAG下载线的一个端口插入FPGA开发板上的JTAG接口,另一个端口插入计算机的USB接口或其他相应的接口。 在Xilinx ISE或Vivado中打开我们设计的原理图文件,并进行综合、布局和布线等必要的操作。确保我们的设计没有错误或警告信息。 然后,我们需要将设计编译成位流文件(bitstream),生成的bitstream文件包含了我们设计的原理图文件的配置信息。 在Xilinx ISE中,我们可以通过"Generate Programming File"选项将设计编译成位流文件。在Vivado中,我们可以通过"Generate Bitstream"选项生成位流文件。 接着,我们在Xilinx ISE或Vivado中选择下载工具,并设置下载参数。我们可以选择使用自动检测模式或手动指定下载设备等。 最后,我们点击下载按钮,Xilinx下载工具会将生成的位流文件下载到FPGA开发板中进行配置。在下载完成后,我们可以通过开发板上的LED指示灯、显示屏等来验证配置结果是否正确。 总结起来,Xilinx下载原理图的过程包括准备硬件和软件环境、连接JTAG下载线、打开设计文件并进行综合布局布线、生成位流文件、设置下载参数、下载位流文件到FPGA开发板中进行配置等步骤。通过这些步骤,我们可以实现将我们设计的原理图文件下载到Xilinx FPGA开发板上执行。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

披着假发的程序唐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值