RTT 全志D1s RDC2022纪念版使用tina_Linux的移植修改记录(1)

uart_debug_tx = port:PB6<7><1>
uart_debug_rx = port:PB7<7><1>

修改后:

[uart_para]
uart_debug_port = 3
uart_debug_tx = port:PG8<5><1>
uart_debug_rx = port:PG9<5><1>

其中PG8后面的<5>的数字需要查阅数据手册查看相应串口的功能编号(Function5

3.2、修改tina-d1-h/lichee/brandy-2.0/u-boot-2018/configs/sun20iw1p1_defconfig

在最后添加

CONFIG_SPECIFY_CONSOLE_INDEX=y
CONFIG_CONS_INDEX=4 #说明:这里是UART3+1 = 4 串口号+1

3.3、修改tina-d1-h/device/config/chips/d1s/configs/nezha/board.dts

修改前:

&uart3 {
compatible = “allwinner,sun20iw1-dsp-uart”;
pinctrl-names = “default”, “sleep”;
pinctrl-0 = <&uart3_pins_a>;
pinctrl-1 = <&uart3_pins_a>;
status = “disabled”;
};

修改后

&uart3 {
compatible = “allwinner,sun20iw1-dsp-uart”;
pinctrl-names = “default”, “sleep”;
pinctrl-0 = <&uart3_pins_a>;
pinctrl-1 = <&uart3_pins_a>;
status = “okay”;
};

3.4、修改tina-d1-h/device/config/chips/d1s/configs/default/env.cfg

修改前:

#kernel command arguments
earlyprintk=sunxi-uart,0x02500C00
initcall_debug=0
console=ttyS3,115200

修改后:

#kernel command arguments
earlyprintk=sunxi-uart,0x02500C00 //此处的地址徐娅根据实际串口外设地址修改
initcall_debug=0
console=ttyS3,115200 //此处的ttyS3需要根据相应的实际串口修改,如串口3则是ttyS3

此时使用全志下载工具下载固件应该可以在相应的串口(波特率115200)读到烧写log,并能成功下载,然后重启。

四、修改RGB666显示屏

4.1、修改tina-d1-h/device/config/chips/d1s/configs/nezha/uboot-board.dts和tina-d1-h/device/config/chips/d1s/configs/nezha/board.dts

uboot_board.dts修改的是uboot的显示设备树

board.dts修改的是Linux的设备树

修改前:

&lcd0 {
lcd_used = <1>;
lcd_driver_name = “tft08006”;
lcd_backlight = <100>;
lcd_if = <4>;
lcd_x = <800>;
lcd_y = <1280>;
lcd_width = <52>;
lcd_height = <52>;
lcd_dclk_freq = <70>;
lcd_pwm_used = <1>;
lcd_pwm_ch = <7>;
lcd_pwm_freq = <1000>;
lcd_pwm_pol = <0>;
lcd_pwm_max_limit = <255>;
lcd_hbp = <32>;
lcd_ht = <868>;
lcd_hspw = <4>;
lcd_vbp = <12>;
lcd_vt = <1311>;
lcd_vspw = <4>;
lcd_dsi_if = <0>;
lcd_dsi_lane = <4>;
lcd_lvds_if = <0>;
lcd_lvds_colordepth = <0>;
lcd_lvds_mode = <0>;
lcd_frm = <0>;
lcd_hv_clk_phase = <0>;
lcd_hv_sync_polarity= <0>;
lcd_io_phase = <0x0000>;
lcd_gamma_en = <0>;
lcd_bright_curve_en = <0>;
lcd_cmap_en = <0>;
lcd_fsync_en = <0>;
lcd_fsync_act_time = <1000>;
lcd_fsync_dis_time = <1000>;
lcd_fsync_pol = <0>;
deu_mode = <0>;
lcdgamma4iep = <22>;
smart_color = <90>;
lcd_gpio_0 = <&pio PD 20 GPIO_ACTIVE_HIGH>;
pinctrl-0 = <&dsi4lane_pins_a>;
pinctrl-1 = <&dsi4lane_pins_b>;

修改后:

&lcd0 {
lcd_used = <1>;

lcd_driver_name = “default_lcd”; // 使用 default_lcd 驱动
lcd_if = <0>; // 0:rgb 4:dsi

// Part 1
lcd_x = <800>; // 宽度
lcd_y = <480>; // 高度
lcd_width = <108>; // 屏幕物理宽度,单位 mm
lcd_height = <65>; // 屏幕物理高度,单位 mm

// Part 2
lcd_pwm_used = <1>; // 启用背光 PWM
lcd_pwm_ch = <9>; // 使用 PWM 通道 9
lcd_pwm_freq = <50000>; // PWM 频率,单位 Hz
lcd_pwm_pol = <0>; // 背光 PWM 的极性
lcd_pwm_max_limit = <255>; // 背光 PWM 的最大值(<=255)

// Part 3
lcd_dclk_freq = <24>; // 屏幕时钟,单位 MHz
lcd_ht = <816>; // hsync total cycle(pixel)
lcd_hbp = <12>; // hsync back porch(pixel) + hsync plus width(pixel);
lcd_hspw = <4>; // hsync plus width(pixel)
lcd_vt = <496>; // vsync total cycle(line)
lcd_vbp = <12>; // vsync back porch(line) + vysnc plus width(line)
lcd_vspw = <4>; // vsync plus width(pixel)

// Part 4
lcd_lvds_if = <0>;
lcd_lvds_colordepth = <1>;
lcd_lvds_mode = <0>;
lcd_frm = <0>; // 0:关闭; 1:启用rgb666抖动; 2:启用rgb656抖动
lcd_io_phase = <0x0000>;
lcd_gamma_en = <0>;
lcd_bright_curve_en = <0>;
lcd_cmap_en = <0>;
deu_mode = <0>;
lcdgamma4iep = <22>;
smart_color = <90>;

// Part 5
pinctrl-0 = <&rgb18_pins_a>;
pinctrl-1 = <&rgb18_pins_b>;
}

这部分参数需要根据屏幕参数修改。此时修改完成后即可点亮显示屏

使用echo 8 > /sys/class/disp/disp/attr/colorbar可以显示如下图来判断是否正常显示,请忽略板子上的条线,我之前调试的时候一按复位键就会导致板子上的串口(板载CH340的USB口)断电重启,电脑上的串口调试助手也会掉线,我就干脆拆了芯片直接外接USB转串口芯片了。

五、参考:

编译 Tina Linux - D1s (aw-ol.com)")

Tina Linux 存储介质切换:eMMC,SPI NAND,SPI NOR,SD Card,SD NAND | 全志在线开发者论坛 (aw-ol.com)“)
因为不喜欢插拔TF卡,D1直接用PhoenixSuit烧录TF(SDNAND) / 全志 SOC / WhyCan Forum(哇酷开发者社区) / 全志 SOC / WhyCan Forum(哇酷开发者社区)”)
基于全志D1-H 芯片与 Tina Linux 框架下的 LCD 屏幕适配_lcd_hbp-CSDN博客

自我介绍一下,小编13年上海交大毕业,曾经在小公司待过,也去过华为、OPPO等大厂,18年进入阿里一直到现在。

深知大多数大数据工程师,想要提升技能,往往是自己摸索成长或者是报班学习,但对于培训机构动则几千的学费,着实压力不小。自己不成体系的自学效果低效又漫长,而且极易碰到天花板技术停滞不前!

因此收集整理了一份《2024年大数据全套学习资料》,初衷也很简单,就是希望能够帮助到想自学提升又不知道该从何学起的朋友。
img
img
img
img
img

既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,基本涵盖了95%以上大数据开发知识点,真正体系化!

由于文件比较大,这里只是将部分目录大纲截图出来,每个节点里面都包含大厂面经、学习笔记、源码讲义、实战项目、讲解视频,并且后续会持续更新

如果你觉得这些内容对你有帮助,可以添加VX:vip204888 (备注大数据获取)
img

047271)]

既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,基本涵盖了95%以上大数据开发知识点,真正体系化!

由于文件比较大,这里只是将部分目录大纲截图出来,每个节点里面都包含大厂面经、学习笔记、源码讲义、实战项目、讲解视频,并且后续会持续更新

如果你觉得这些内容对你有帮助,可以添加VX:vip204888 (备注大数据获取)
[外链图片转存中…(img-zob3WvQC-1712533047271)]

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值