自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(346)
  • 收藏
  • 关注

原创 【征文活动】亲爱的开发者,RT-Thread社区喊你投稿啦

RT-Thread开发者原创文章征文活动,邀你来激扬代码、痛诉衷肠。每月参加,更有机会冲击RT-Thread社区2022年终极大奖噢!

2022-07-01 17:07:59 1194

原创 Vision Board系列教程 | 神经网络模型训练及部署指南

准备工作win10/11系统的电脑建议1张FAT32格式的SD卡建议自备1根Type-C数据线资料获取网盘中主要包括Vision Board开发所需要的常用开发工具,百度网盘资料链接:(https://pan.baidu.com/s/1_9UNZbchYImCzTTRpwsmFw?pwd=azsd)在正式进行开发前,需要安装下图中勾选的四个软件!!!注册账号并创建Edge Impulse工程打开E...

2024-10-16 18:20:00 148

原创 【10/26北京】智慧家居视频监测系统实战,超多动手实践等你来体验!

在智慧家居领域,视频监测系统是提升家庭安全和智能化水平的关键组成部分。智慧家居视频监测系统在现代家庭中的重要性日益凸显,它为家庭安全、便利性以及居住舒适度提供了显著的提升。10月26日,RT-Thread与NXP将联合举办以“智慧家居视频监测系统实战”为主题的线下培训。我们为大家准备了AI领域的精彩Demo,以及多个动手实践。通过本次培训,工程师不但能够了解RT-Thread以及恩智浦,而且通过案...

2024-10-15 18:43:24 402

原创 Nordic-RT-Thread5.1.0移植笔记

关键词:RT-Thread、v17.1.0、softdevie、BLE、HID、mouse、Nordic、52840资源获取nRF5x SDK v17.1.0:https://github.com/cbraissant/nRF5_SDK_17.1.0_ddde560RT-Thread V5.1.0:https://github.com/RT-Thread/rt-threadZJ-SDK-RT-Th...

2024-10-15 18:43:24 780

原创 睿赛德科技正式推出RT-Thread开源 4+服务,助力企业用户发展

RT-Thread始于2006年,是100%由中国开发者编写的开源嵌入式操作系统。经过10多年的发展,已经成为国内最流行的嵌入式操作系统,广泛应用于工业、电力、轨道交通、智慧城市、智能家居、穿戴、车载、甚至航空航天等领域。RT-Thread的成功基于对开源前辈Linux开源成功经验的学习,同时成功地促使行业达成了开源开放业共同发展的共识。Linux的成功在于它与商业公司形成了互惠互利的发展模式...

2024-10-14 18:05:17 429

原创 使用FAL分区管理与easyflash变量管理

1.FAL组件1.1什么是FALFAL (Flash Abstraction Layer) Flash 抽象层,是对 Flash 及基于 Flash 的分区进行管理、操作的抽象层,对上层统一了 Flash 及 分区操作的 API (框架图如下所示),并具有以下特性:支持静态可配置的分区表,并可关联多个 Flash 设备;分区表支持 自动装载 。避免在多固件项目,分区表被多次定义的问题;代码精简,对...

2024-09-30 18:27:12 924

原创 【成都】9月21日RT-Thread巡回线下培训-OpenMV机器视觉

亲爱的RT-Thread社区成员们:RT-Thread 24年全球巡回培训将覆盖超10座城市及国家,为开发者提供一个深入学习RT-Thread嵌入式开发,以及和工程师们线下交流的绝佳机会。2024年RT-Thread全球开发者线下培训火热进行中,我们已走过菲律宾、北京、深圳、武汉、大连。9月21日我们将来到成都,带你体验OpenMV机器视觉!免费报名免费报名活动参与抽奖,有机会获得《RT-Thre...

2024-09-12 18:35:08 538

原创 EtherCAT EOE移植及上手说明

上期回顾:EtherCAT协议介绍01EtherCAT介绍1EtherCAT状态机制ESM (EtherCAT state machine)是用来在启动或者工作时协调主站和从站关系用的,由应用层控制器将从站应用的状态写入AL状态寄存器,主站通过写AL控制寄存器进行状态请求。因此从逻辑上说,ESM位于EtherCAT从站控制器与应用之间。如下所示,它包含 EtherCAT状态转换的过程。运行状态描述...

2024-09-11 18:10:37 765

原创 【南京】9月21日RT-Thread巡回线下培训-智慧家居视频监测系统实战

亲爱的RT-Thread社区成员们:RT-Thread 24年全球巡回培训将覆盖超10座城市及国家,为开发者提供一个深入学习RT-Thread嵌入式开发的绝佳机会。2024年RT-Thread全球开发者线下培训火热进行中,我们已走过菲律宾、北京、深圳、武汉、大连。9月21日我们将来到南京,带你体验智慧家居视频监测系统实战!免费报名免费报名活动参与抽奖,有机会获得《RT-Thread 设备驱动开发指...

2024-09-10 15:10:36 491

原创 睿赛德科技携手先楫共创RISC-V生态|RT-Thread EtherCAT主从站方案大放异彩

日前,在先楫HPM6E00技术日上,睿赛德科技(RT-Thread)向广大工业用户展示了多年来双方在RISC-V生态领域的合作历程和成果,同时睿赛德科技携手先楫半导体首次推出了基于HPM6800处理器的EtherCAT主站解决方案,吸引了现场大量工业用户的关注。该方案不仅展示了卓越的性能和可靠性,还体现了双方在嵌入式系统领域的深入合作和技术领先优势。目前先楫半导体所有开发板已上RT-Thread主...

2024-09-04 18:15:58 1310

原创 国产实时操作系统:和RT-Linux,Zephyr的实时性对比

RT-Thread在工业领域、高安全高可靠性领域,实时操作系统在其中发挥着重要的作用,从毫秒级,微秒级实时响应,决定着装置系统能满足何种严苛的要求。RT-Thread Smart操作系统是一套基于RT-Thread内核,并具备POSIX用户态运行环境的高性能实时操作系统。它类似Linux、Windows的架构,具备用户态和内核态(并相分离),用户态具备全地址空间(进程模型),启用了A核芯片中的MM...

2024-08-20 16:43:00 983 1

原创 【2024 RT-Thread全球巡回 线下培训火热来袭!】

我们非常高兴地宣布,即将拉开帷幕!24年全球巡回培训将覆盖超,为开发者提供一个深入学习RT-Thread嵌入式开发的绝佳机会。免费报名参加!:深圳、北京、大连、成都、武汉、上海、南京!今年将在8月21日在菲律宾启航,陆续覆盖泰国,马来西亚,菲律宾,新加坡,波兰以及美国。

2024-08-14 15:27:57 277

原创 【如何优雅的在OpenMV上使用LVGL】

如何优雅的在OpenMV上使用LVGL

2024-07-31 17:29:23 713

原创 拥抱现代:在国产操作系统RT-Thread体验tmux终端复用的魅力

引言在嵌入式平台性能飞速发展的时代,市场上如雨后春笋般涌现出各类高性能高性价比的新兴平台,为我们的开发带来了诸多便利。RT-Thread Smart 正是为更好地利用这些性能优势而生的一款解决方案。通过它,我们可以轻松复用丰富的 RT-Thread Smart 系统组件以及 POSIX ,UNIX,GNU 生态的软件栈,实现更高效的验证和功能开发。我们通过终端环境中功能强大的终端多路复用工具 tm...

2024-07-27 17:01:10 505

原创 致敬经典:在国产开源操作系统 RT-Thread 重温 UNIX 彩色终端

引言上篇文章里我们向大家介绍了 RT-Thread v5.1.0 的一些新特性。其中包括了终端环境的进一步完善。终端是人机交互的重要接口。实用的终端工具可以显著地提升系统使用者的幸福指数。举例来说,当我们想要修改一些系统配置,或是编写脚本时,一个好用的文本编辑器总是不可或缺。以 UNIX 文本编辑器 Vim 为例子。得益于 v5.1.0 内核对于 POSIX 的支持,我们拉取源码构建烧录后,就可以...

2024-06-26 18:08:34 868

原创 探索新升级!在 ART-Pi Smart 体验 RT-Thread Smart v5.1.0

1.引言RT-Thread Smart v5.1.0 已经正式发布。这一版本在内核和功能上做了大量的改进与增强。我们可以在ART-Pi Smart开发板尽情探索这一新版更完善更强大的RT-Thread Smart操作系统。ART-Pi Smart开发板搭载了米尔科技的i.MX6ULL核心板,硬件设计和制作由韦东山团队完成,整体的BSP板级支持包由社区完成。这是首个运行 RT-Thread Smar...

2024-06-13 18:58:24 1027

原创 恩智浦新品MCX N系列线下培训来啦!LVGL、AI等超多精彩Demo演示,快来报名吧!

5月25号,RT-Thread将携手恩智浦共同举办 基于恩智浦MCX N系列MCU结合RT-Thread的应用与实践 线下培训。我们将为大家带来恩智浦MCX N系列MCU的详细介绍、精彩Demo展示、以及基于 FRDM-MCX N947 和 RT-Thread 的动手实践,率先完成的同学有福利哦~同时,我们还特别设置了现场交流环节,RT-Thread和恩智浦的资深技术工程师将与开发者进行现场交流,为大家答疑解惑,更有好礼抽送。

2024-05-20 14:03:18 267 1

原创 RT-Thread专业版入选《2023年上海市工业软件推荐目录》

在推进制造业数字化转型的关键时刻,上海市发布了《2023年上海市工业软件推荐目录》,旨在落实《上海市促进工业软件高质量发展行动计划(2021-2023年)》,聚焦重点行业和领域痛点问题,提升关键软件技术创新和供给能力,推动工业软件产品应用和产业生态建设,更好支撑全市制造业数字化转型。本次目录的发布,不仅展示了上海在推动工业软件发展方面的坚定决心,也为优秀的国产软件企业和产品提供了展示和应用的平台。...

2024-04-01 18:36:18 382

原创 【可申请试用】RT-Thread专业版全面支持瑞芯微RK3568系列平台并可实现混合部署...

RT-Thread专业版是面向任务关键领域的高安全实时操作系统,已被广泛应用于航空航天,电力,轨交,车载,工业控制,新能源,医疗等国家重要领域,是各领域高可靠装备的基础核心软件。该版本适用功能安全产品认证 IEC 61508 SIL3,EN 50128 SW SIL4 要求,生态完善,适配范围广,可以适配从 MCU 到 MPU,到高性能 SOC 芯片,适合构建团队甚至公司层面统一的技术开发平台。...

2024-02-21 18:11:32 1724

原创 【线下培训】上海临港: RT-Thread × 瑞萨 工业监视器 RA6M3 HMI Board解决方案

本次培训将为开发者们带来期待已久的热门技术主题, RT-Thread × 瑞萨 工业监视器 RA6M3 HMI Board解决方案。本次培训设在RT-Thread滴水湖新办公室地址,我们欢迎所有开发者来参观RT-Thread新办公室,更进一步了解RT-Thread,当然我们办公室设有demo展区及180平米环湖超美湖景视野供大家品鉴!1、RT-Thread Studio 2、并在RT-Thread Studio SDK Manager中安装好RT-Thread源码 3、请保证电脑电量满格,请携带充电器!

2023-09-18 15:09:41 366

原创 RT-Thread操作系统全面支持飞腾腾珑E2000系列芯片

近日,国内领先的自主核心芯片提供商飞腾和国内领先的RTOS(实时操作系统) RT-Thread 共同完成了针对飞腾系列CPU的适配支持,并且全部源码已经发布到开源社区平台,为嵌入式开发者们提供了广泛而全面的支持。https://github.com/RT-Thread/rt-thread/tree/master/bsp/phytiumhttps://gitee.com/rtthread/rt-th...

2023-08-28 18:49:10 893

原创 一“码”当先,PR大征集!2023 和RT-Thread一起赋能开源!

你可在Github RT-Thread( https://github.com/RT-Thread ) 代码仓提交PR参与活动,和大家同台竞技,比拼技艺。「一“码”当先,PR大征集!学习新技能:RT-Thread论坛拥有详尽文档指引,教你基于图形化git来提交PR。赢商城好礼:根据评选获得相应好礼!奖励虽小,但开源分享的行为,值得大家肯定!创造影响力:联动社区征文,撰写你的经验,成为指引他人的社区明灯。能力大突破:提升个人能力,解决他人困难,拓展新思路。拓宽社交网:结识志同道合的“码”友良师。

2023-08-21 16:18:33 317

原创 参加RT-Thread征文活动,免费赢取开发板!

此外,RT-Thread微信服务号也上线啦!不想错过RT-Thread的最新活动资讯和社区福利?完成活动就可以获取积分,积分可以免费兑换开发板!

2023-08-16 11:01:14 153

原创 RT-Thread 互补滤波器 (STM32 + 6 轴 IMU)

作者:wuhanstudio原文链接:https://zhuanlan.zhihu.com/p/611568999最近在看无人驾驶的 Prediction 部分,可以利用EKF (Extended Kalman Filter)融合不同传感器的数据,例如 IMU, Lidar 和 GNSS,从而给出更加准确的状态预测。刚好手边开发板有一个 6 轴的 IMU,本来打算试一下卡尔曼滤波器 (Kal...

2023-07-11 20:10:27 972

原创 RT-Thread 5.0.0 发布

令人期待的RT-Thread 5.0.0版本今天终于跟大家正式见面啦~!我们一起来看看它都有什么新功能、新特性吧!➢ RT-Thread 代码仓库地址:https://github.com/RT-Thread/rt-thread➢ RT-Thread 5.0.0发布版本:https://github.com/RT-Thread/rt-thread/releases/tag/v5.0.0RT-Thr...

2023-04-13 22:09:49 2458

原创 报名RT-Thread x Infineon创意创客大赛,体验英飞凌新款开发板!

RT-Thread x Infineon创意创客大赛是 RT-Thread 联手Infineon发起,联合立创EDA (oshwhub.com)、码云(Gitee)等合作伙伴,面向所有开发者的科技型竞赛活动,旨在充分挖掘和培养开发者的创新能力和工程实践素质,提高针对实际问题进行设计制作的能力,对物联网操作系统 RT-Thread 和嵌入式应用系统的理解和设计能力。同时,本次大赛也为工程师提供了良...

2023-04-03 18:36:04 287

原创 基于RT-Thread完整版搭建的极简Bootloader

项目背景Agile Upgrade: 用于快速构建 bootloader 的中间件。example文件夹提供 PC 上的示例特性适配RT-Thread官方固件打包工具 (图形化工具及命令行工具)使用纯 C 开发,不涉及任何硬件接口,可在任何形式的硬件上直接使用加密、压缩支持如下:AES256fastlzquicklz原生适配file及fal操作接口移植简单,实现自定义的后端只需...

2023-03-01 21:03:52 2298

原创 【AI简报第20230210期】 ChatGPT爆火背后、为AIoT和边缘侧AI喂算力的RISC-V

1. ChatGPT爆火背后:AI芯片迎接算力新挑战原文:https://www.163.com/dy/article/HT7BHN3C05199NPP.htmlChatGPT的出圈走红为AIGC打开全新市场增量,催生了更高的算力需求。作为人工智能三大核心要素之一,算力也被誉为人工智能“发动机”。华泰证券研报显示,根据OpenAI测算,自2012年以来,全球头部AI模型训练算力需求3-4个月翻一番...

2023-02-10 20:58:51 4126

原创 OPENHW开源CORE-V-MCU移植RT-Thread

项目背景OpenHW Group 是一个以协作方式开发开源硬件和相关软件的非营利组织,致力于开发、验证和提供开源处理器内核。OpenHW Group的开源项目致力于开发和验证基于免费和开放的RISC-V指令集架构 (ISA) 系列内核,称为 CORE-V系列。CV32E40P 开源处理器 IP 内核,这是 OpenHW CORE-V 系列中第一个经过全面验证的内核。本文的对象就是基于CV32E40...

2023-01-29 20:54:06 432

原创 快来尝鲜!使用 D1s (RDC2022 纪念版) 运行 RT-Smart

出厂固件上手指南软件环境:Ubuntu20.0.4win10硬件环境:RDC2022 纪念版开发板Type-c 头数据线驱动安装1、上电前,按住下载模式按钮。然后使用 Type-c 数据线插入下载接口给开发板上电。2、打开资料包中的 zadig 软件/ zadig 官网下载。然后参照如下所示的 “驱动安装示意图”,安装 fel 驱动。连接串口工具打开 MobaXterm /其他终端工具,将 Typ...

2023-01-28 21:31:04 1267

原创 RT-Thread操作系统的FreeRTOS兼容层

Github地址https://github.com/RT-Thread-packages/FreeRTOS-Wrapper期待您的⭐Star⭐本项目是2022年开源之夏,RT-Thread社区项目。已经于2022年9月由唐照洲(美国佐治亚理工学院,大四)顺利结项完成。FreeRTOS兼容层目前已经落地到RT-Thread对ESP32-IDF(唐照洲)和core-v-mcu(王顺)两款SDK的兼容...

2023-01-13 20:38:49 1287

原创 基于RoboMasterC型开发板的RT-Thread使用分享(二)

这次继续填坑,本次我们将介绍PWM功能的使用,实现呼吸灯的功能。那么接下来就直接开始我们的实践部分。创建工程在上篇文章中我是使用基于芯片创建的,我原本想着不通过BSP文件在教程中教大家一步一步的通过Kconfig+CubeMX配置出可以驱动整个开发板所有外设的工程的。在社区前辈的提醒后才知道通过基于芯片创建工程是没有办法Kconfig配置的。因此我在之后的教程中我会基于RT-Thread提供的BS...

2023-01-04 20:06:18 712

原创 一起学习下RT-Thread的C语言编码规范

作者:架构师李肯前言最近博主在学习 RT-Thread 这个开源项目,开始慢慢学习和理解它的开源代码,慢慢开始接触了它的代码规范。我个人认为,参与一个开源项目的第一步,就是要好好理解它的规范,其中代码编写规范就是很重要的一环。RT-Thread 编程风格这是一份 RT-Thread 开发人员的开发指引。RT-Thread 做为一份开源软件,它需要由不同的人采用合作的方式完成,这份文档是开发人员的一...

2022-12-06 19:42:48 784

原创 【RT-Thread Studio更新】英飞凌 PSOC62-IFX-PROTO-KIT 开发环境搭建指南

本文将介绍在 RT-Thread Studio 上如何基于 PSOC62-IFX-PROTO-KIT 开发板搭建开发环境进行开发、烧录、调试功能。开发环境搭建步骤1、PSOC62-IFX-PROTO-KIT 开发板资源包安装打开Studio,点击工具栏上的SDK管理器在Board_Support_Packages 找到 Infineon 下的 PSOC62-IFX-PROTO-KIT 开发板资源包...

2022-11-24 20:11:43 757

原创 丝滑的在RT-Smart用户态运行LVGL

开发流程1、RT-Smart 环境搭建下载 RT-Smart 用户态应用代码:1gitclonehttps://github.com/RT-Thread/userapps.git进入到 userapps 目录,克隆 RT-Thread rt-smart 分支1gitclone-brt-smarthttps://gitee.com/rtthread/rt-thread.git更详细环境配...

2022-11-22 20:05:08 1574

原创 优雅的在D1S上运行RT-Smart

前言最近在学习 RT-Smart ,正巧有在全志开发者论坛看到这么一篇帖子【惊】在麻雀上运行国产rt-smart系统,看到很多人都在关注 D1S 在 Smart 上的运行情况。如今该 BSP 已经合并到 RT-Smart 主仓库,于是我来展示一下 D1S 运行 RT-Smart 的步骤,供大家参考~做嵌入式开发的人对 RT-Thread 操作系统平台应该都是非常熟悉的,而 RT-Thread Sm...

2022-11-16 18:47:45 1353 1

原创 基于Infineon开发板实现RT-Thread物联网 DEMO

简介这是一个基于CY8CPROTO-062-4343W开发板 +RT-Thread实现的物联网 DEMO。本文将介绍如何一步步从零搭建一个物联网 demo。下图是整体的设计框图,使用RW007用于网络通信,使用MQTT协议连接 One-Net 物联网平台。在主控芯片中添加所需要的软件包、组件等中间件来快速搭建起一个设备上云 Demo。(文中包含部分扩展内容,可点击文末阅读原文)实际...

2022-11-02 21:23:23 1266

原创 RT-Smart ELF 应用程序加载运行过程分析

在用户态应用程序处理的任务中,elf 加载运行是一个比较重要的步骤,下面就分析一下在 rt-smart 操作系统中,想要将一个应用程序运行起来要经过哪些步骤。ELF 格式介绍ELF 代表 Executable and Linkable Format。它是一种对可执行文件、目标文件和库使用的文件格式。它在 Linux 下成为标准格式已经很长时间,ELF 一个特别的优点在于,同一文件格式可以用于内核支...

2022-11-01 18:43:39 529

原创 如何在 RT-Thread Smart 下使用 NimBLE 蓝牙协议栈

文中蓝字部分,请点击阅读原文查看。本文主要介绍 NimBLE 软件包的在 RT-Thread Smart 下的使用目前官方使用 ART-Pi-Smart 评估板运行 RT-Thread Smart,此工程也是跑在 ART-Pi-Smart 开发板上。需要使用 ART-Pi-Smart 搭配 外部蓝牙 Controller 芯片使用。需要配置好 rt-thread smart 在 Windows 下...

2022-10-27 19:29:31 2261 1

原创 让实时操作系统助力电力电子系统设计

作者简介顾云杰博士,英国巴斯大学助理教授,帝国理工学院荣誉讲师,曾任通用电气全球研发中心研究员。长期从事新能源电力电子系统相关研发工作,是逆变器控制和电网稳定性领域国际知名青年专家,是开源软件SimplusGridTool和OpenPowerware的发起人,RT-Thread社区成员。背景C2000——让人又爱又恨数字电源技术在这几年可以说是炙手可热,而其控制器通常使用由TI设计的C2000芯片...

2022-09-29 19:24:34 899

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除