实际项目开发中用到的代码,FPGA通过uart通讯解析上位机发送的数据包

实际项目开发中用到的代码,FPGA通过uart通讯解析上位机发送的数据包,并实现数据存储和调用,采用三段式状态机,Verilog语言。
数据包包含帧头、命令、数据长度、数据、16位的crc校验(会给出对应的多项式)、帧尾。
为了保证帧头和帧尾唯一性,使用了字符转义,并且在检测出帧头错误,校验错误,帧尾错误时会返回特定的指令给上位机,实现与PC端握手。
资料包含工程文件(可选quartus或Xilinx),代码(带注释),testbench,可运行仿真查看波形。

请添加图片描述

ID:7138696168061678

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值