4分频 分频器

该篇文章详细描述了一个使用Verilog编写的分频器模块,包含输入时钟、复位控制以及计数器逻辑,用于在时钟信号上实现分频效果。
摘要由CSDN通过智能技术生成
module divider_4(
input external_clk;
input reset;

output divider_clk;
);

reg divider_clk, counter;

always@(posedge external_clk or negedge external_clk)begin
    if(!reset)
        counter <= 2'd0;
    else if(cnt == 2'd1)
         counter <= 2'd0;
    else
        counter <= counter + 2'd1;
end

always@(posedge external_clk or negedge external_clk)begin
    if(!reset)
        divider_clk <= 2'd0;
    else if(cnt == 2'd1)
         divider_clk <= ~divider_clk;
    else
        ;
end

endmodule







  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值