hi.中位数

中位数

题目描述

给定一个长度为 N N N 的非负整数序列 A A A,对于前奇数项求中位数。

输入格式

第一行一个正整数 N N N

第二行 N N N 个正整数 A 1 … N A_{1\dots N} A1N

输出格式

⌊ N + 1 2 ⌋ \lfloor \frac{N + 1}2\rfloor 2N+1 行,第 i i i 行为 A 1 … 2 i − 1 A_{1\dots 2i - 1} A12i1 的中位数。

样例 #1

样例输入 #1

7
1 3 5 7 9 11 6

样例输出 #1

1
3
5
6

样例 #2

样例输入 #2

7
3 1 5 9 8 7 6

样例输出 #2

3
3
5
6

提示说明

在这里插入图片描述

对于 20 % 20\% 20% 的数据, N ≤ 100 N \le 100 N100

对于 40 % 40\% 40% 的数据, N ≤ 3000 N \le 3000 N3000

对于 100 % 100\% 100% 的数据, 1 ≤ N ≤ 100000 1 \le N ≤ 100000 1N100000 0 ≤ A i ≤ 1 0 9 0 \le A_i \le 10^9 0Ai109

代码内容

// #include <iostream>
// #include <algorithm>
// #include <cstring>
// #include <stack>//栈
// #include <deque>//队列
// #include <queue>//堆/优先队列
// #include <map>//映射/*  */
// #include <unordered_map>//哈希表
// #include <vector>//容器,存数组的数,表数组的长度
#include <bits/stdc++.h>

using namespace std;

typedef long long ll;
vector<ll> a;

int main()
{
    ll n;
    cin>>n;
    for(ll i=1,x;i<=n;i++)
    {
        cin>>x;

        //二分插入保证单调性
        a.insert(upper_bound(a.begin(),a.end(),x),x);

        //是奇数个就输出
        if(i%2==1) cout<<a[(i-1)/2]<<endl;
    }
    
    return 0;
}
  • 13
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: 以下是一个简单的Verilog代码,用于计算32位无符号整数的平方根。 ``` module sqrt32 ( input [31:0] x, output reg [15:0] y ); reg [31:0] temp; reg [4:0] count; always @(*) begin if (x == 0) begin y <= 0; end else if (x <= 3) begin y <= 1; end else begin temp = x; count = 0; while (temp > 0) begin temp = temp >> 2; count = count + 1; end temp = x; count = (count - 1) / 2; while (count > 0) begin temp = temp >> 2; count = count - 1; end y = temp; for (count = 0; count < 8; count = count + 1) begin y = (y + (x / y)) >> 1; end end end endmodule ``` 该模块使用牛顿迭代法来计算平方根。它将输入值x分解成位数相等的两部分,并在迭代过程中使用除法和加法来逐步逼近平方根。最终结果存储在输出寄存器y中。请注意,此代码仅适用于无符号整数。 ### 回答2: Verilog是一种硬件描述语言,用于设计和描述数字电路。要实现32位数的开根号,需要使用一些算法和电路设计技巧。 一个常用的方法是使用二分法来逼近开根号的结果。下面是一个简单的Verilog代码示例: ```verilog module sqrt32(input [31:0] num, output [15:0] sqrt); reg [31:0] r; reg [31:0] lo, hi, mid; always @ (num) begin lo = 0; hi = num; r = 0; repeat (32) begin mid = (lo + hi) / 2; if (mid * mid == num) begin r = mid; break; end else if (mid * mid < num) lo = mid; else hi = mid; end sqrt = r[15:0]; end endmodule ``` 在这个示例中,我们使用了二分法来逼近开根号的结果。我们使用`lo`和`hi`来指示当前二分的范围,通过不断调整它们的值,直到最后的结果正确。 由于输入`num`是32位的,我们使用了一个32位的寄存器`r`来保存二分的中间结果。我们通过比较`mid * mid`和`num`的大小来判断是否找到了准确的结果。如果`mid * mid == num`,则我们找到了准确的结果,将`mid`保存在寄存器`r`中,并结束循环。如果`mid * mid < num`,则说明`mid`的值太小,我们需要将`lo`更新为`mid`。如果`mid * mid > num`,则说明`mid`的值太大,我们需要将`hi`更新为`mid`。 最后,我们将32位寄存器`r`的低16位作为输出`sqrt`返回。 需要注意的是,这个代码示例只是一个简单的实现。在实际应用中,可能需要考虑更多的情况和优化算法来提高开根号的性能和精度。 ### 回答3: 要实现32位数的开根号代码,可以使用Verilog语言进行描述和实现。以下是一种可能的实现方式: 首先,我们可以采用二分法来逼近开方的结果。具体步骤如下: 1. 初始化输入数和输出数的寄存器,分别为`input`和`output`,它们都是32位宽度的寄存器。 2. 对于二分法,我们需要设置初始范围和精度。这里,我们可以设置初始范围为0到输入数的一半,并设置一个精度值,例如0.00001。 3. 在每个时钟周期内,进行如下循环直到达到设定的精度值: a. 计算中间值,即当前范围的中点值。可以使用右移操作来实现除以2. b. 计算中间值的平方并与输入数进行比较。可以使用乘法操作符实现平方。 c. 如果中间值的平方小于输入数,则更新范围的下限为中间值。否则,更新范围的上限为中间值。 d. 根据更新后的范围,重新计算下一个中间值。 e. 判断更新后的范围是否小于设定的精度值,如果是,则退出循环。 4. 最终,输出数就是迭代完成后的上限值。 需要注意的是,上述描述只是一种实现方式,实际在具体的Verilog设计中,还需要考虑到时钟和数据传输的实现细节,以及对数据宽度和精度的合理选择。因此,具体的Verilog代码会更加详细和复杂。以上是一个简单的概述来回答这个问题,希望对你有帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Pretty Boy Fox

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值