systemverilog中并发线程的介绍和实操

在systemverilog之中,仅有begin-end语句块中的阻塞赋值语句为串行的,其余的语句都是并行执行的

在一个仿真时间内,所有的并发线程都会执行完成,但实际上在仿真工具内,各个并发线程是串行执行的,串行执行所有ready状态的线程,直到所有的线程均为wait状态。

当正在执行的线程遇到wait语句时,会进入wait状态,此时在队列中的ready状态的线程将执行

@()
wait()
#1
join
join_any

 虽然在一个仿真时间内,各个线程在仿真工具内串行执行,但实际上从多个仿真时间的尺度来观察,这些线程在同一个仿真时间内执行完成,因此是并发的!!!

并行语句块fork-join介绍

        在fork-join之中各个线程均为并行执行的,并发线程没有固定的先后执行顺序,哪个线程进入ready状态就执行哪个线程,其余的线程会wait,直至所有的线程都转为wait。

 

        在fork-join语句中封装在begin-end中的语句会形成单一的子线程,并且子线程会从上往下依次串行执行,此外,所有的子线程共享父线程的变量。

        下面会进行实操来直观的掌握fork-join。

并行语句块fork-join实操

        首先编写一个sv程序代码如下:

`timescale 1ps/1ps
module test;
initial begin
        $display("@%0d:starts fork join example",$time);
    #10 $display("@%0d:sequential after #10",$time);
        fork
                $display("@%0d:parallel start",$time);
            #50 $display("@%0d:parallel after #50",$time);
            #10 $display("@%0d:parallel after #10",$time);
            begin
                #30 $display("@%0d:sequential after #30",$time);
                #10 $display("@%0d:sequential after #10",$time);
            end
        join
        $display("@%0d:after join",$time);
    #80 $display("@%0d:final after #80",$time);
end
endmodule

        在fork-join中生成了四个线程

    $display("@%0d:parallel start",$time);
#50 $display("@%0d:parallel after #50",$time);
#10 $display("@%0d:parallel after #10",$time);

        以及begin-end语句块组成的一个线程,这四个线程将根据ready状态来并行执行,当仿真周期开始时,执行到fork-join语句块后,将会根据ready,wait状态来执行,知道四个线程都转化为wait状态,则fork-join执行完成

        begin-end语句块中包含有两个子线程

#30 $display("@%0d:sequential after #30",$time);
#10 $display("@%0d:sequential after #10",$time);

        这两个子线程是由上到下串行执行的,因此当执行到begin-end之后,不管这两个子线程的状态如何都先执行上面的线程,再依次执行下方的线程,直至begin-end执行完毕

         因此使用vcs进行编译运行后输出结果为:

        

并行语句块fork-join_any介绍

        在上文的fork-join语句块的介绍中,只有fork-join中的所有子线程执行完毕后才会跳出fork-join执行后面的线程,而fork-join_any则只需要任意一个子线程执行完成后就可以执行后面的线程。在这个过程中,fork-join_any之中的子线程依旧在执行和父线程并不会互相影响阻塞。

并行语句块fork-join_any实操

        将上文中的join改为join_any再次编译运行后输出结果为:

        

        可以看出在执行了

$display("@%0d:parallel start",$time);

 之后,直接跳出了fork-join_any执行了后面的

$display("@%0d:after join",$time);

        修改代码为 

`timescale 1ps/1ps
module test;
initial begin
        $display("@%0d:starts fork join example",$time);
    #10 $display("@%0d:sequential after #10",$time);
        fork
                $display("@%0d:parallel start",$time);
            #50 $display("@%0d:parallel after #50",$time);
            #10 $display("@%0d:parallel after #10",$time);
            begin
                #30 $display("@%0d:sequential after #30",$time);
                #10 $display("@%0d:sequential after #10",$time);
            end
        join_any
        $display("@%0d:after join",$time);
        #20 $display("@%0d:final after #20",$time);
        #20 $display("@%0d:final after #40",$time);
end
endmodule

        输出结果就会变为 

        

并行语句块fork-join_none介绍

        fork-join_none中,父线程会立即与fork-join_none之中产生的所有子线程并发执行,也就是说在这中间父线程一直都是连贯的,没有被阻塞。

 并行语句块fork-join_none实操

        修改代码为:

`timescale 1ps/1ps
module test;
initial begin
        $display("@%0d:starts fork join example",$time);
    #10 $display("@%0d:sequential after #10",$time);
        fork
                $display("@%0d:parallel start",$time);
            #50 $display("@%0d:parallel after #50",$time);
            #10 $display("@%0d:parallel after #10",$time);
            begin
                #30 $display("@%0d:sequential after #30",$time);
                #10 $display("@%0d:sequential after #10",$time);
            end
        join_none
        $display("@%0d:after join",$time);
        #20 $display("@%0d:final after #20",$time);
        #20 $display("@%0d:final after #40",$time);
end
endmodule

        此时输出的结果就会变为:

        

 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值