自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 收藏
  • 关注

原创 阻抗匹配及其方法

1、阻抗匹配:信号在传输过程中,为避免发生信号反射干扰,要求传输线的特征阻抗与负载阻抗一致;2、基本阻抗匹配原则:单端走线控制阻抗为50Ω,差分走线控制阻抗为100Ω;3、阻抗匹配方法:阻抗匹配四种处理方式_pan0755的博客-CSDN博客_阻抗匹配的方法4、一般串接匹配电阻在阻抗匹配实际应用中最多。...

2022-04-13 13:56:13 2528

转载 Vivado中单端口和双端口RAM的区别

Note: 以下内容可能会有错误的地方,仅供参考,详细请查阅Xilinx官方产品指南《pg058-blk-mem-gen》 !BMG即Block Memory Generator,是Xilinx配置BRAM的IP核,可将BRAM配置成如图所示的5种类型。RAM分三种,单端口RAM、伪双端口RAM(Simple Dual)和双端口RAM(True Dual),它们之间的区别是什么呢?先看看它们的接口。可以看到它们的接口区别伪双端口RAM很好理解,相当于读写分开,addra、dina和w

2022-01-06 10:25:22 3382 1

转载 ZedGraph控件的使用介绍(如何添加到工具箱)

ZedGraph控件的使用介绍(如何添加到工具箱)

2022-01-05 15:04:44 1120

转载 ZedGraph使用经验

链接:https://pan.baidu.com/s/19UvDDdNiDbfjWFeER1kygQ提取码:ayp8

2022-01-05 14:45:17 682

原创 AD2019中原理图复制粘贴到word中更清晰设置

1、打开AD,选择菜单栏中的工具2、选择工具下拉菜单中的原理图优先项3、选择Schematic中的General界面,然后取消勾选 使用GDI+渲染文本+

2022-01-04 17:31:40 4339

原创 如何导出PCB的PDF(器件布局)

如何导出PCB的PDF(器件布局)

2022-01-04 17:19:11 6218

原创 键盘上的Inset(Ins)键会使在行中间修改时,覆盖掉后面的字符。

按下键盘上的Inset(Ins)键会使在行中间修改时,覆盖掉后面的字符。

2022-01-01 15:24:58 485

原创 控件:label

1、添加控件:工具箱——公共控件——label2、属性1:Name Name属性是代码中该控件的类名。 属性2:Text Text属性是该控件的文本3、Form1的窗体代码Form1.csusing System.Data;using System.Drawing;using System.Linq;using System.Text;using System.Threading.Tasks;using Sys...

2021-12-29 09:45:40 735

原创 C#学习笔记(4)

1、dll(库)引用:右键:点击添加引用,再选择需要添加的引用即可。2、新建WinForms窗体引用程序: 1、文件——新建——项目 或直接点击新建项目: 2、Visual C#——Windows窗体应用程序——名称、路径3、项目中窗体Class的区分: 标号 1 的Form类,是用户对窗体控件事件设计的类;标号 2 的Form类,是C#自身与标号 1 的 Form相配合生成的类,无需...

2021-12-28 09:48:44 107

原创 C#学习笔记(3)

一、 1、取余 % :1%2=0; 2、自增 += :sum +=1; //sum = sum + 1 3、条件选择switch :switch(state){ case state1 : ...; break; //break 执行后跳出该语句(最近的一层),如果没有break,会顺序执行下一句 case state2 : ...; break; . . .} 4、空...

2021-12-26 09:38:04 126

原创 代码笔记(2)

1、递归案例 static long coun(long n) { if (n == 1 || n == 2) return 1; else return coun(n-1) + coun(n-2); } static void Main(string[] args) {

2021-12-23 10:58:54 92

原创 C#学习笔记(2)

1、区分大小写(List)和verilog一样,也是区分大小写的,特别是方法名(函数),如list和List是不一样的,List<>,列表,可实现数组功能,<>中为List中的add的数据类型;static void main(string[] args){ var text = new List<int>(); List.Add(1);}2、变量命名规则 必须字母和下划线开头,可用camel(驼峰)规...

2021-12-23 10:56:23 77

原创 C#代码笔记(1)

static void Main(string[] args) { Stopwatch 计数器 = new Stopwatch(); //专门用来计算一段程序消耗多少时间 计数器.Start(); string str = string.Empty; //定义一个空的字符串 for (int i = 0; i &l...

2021-12-22 14:46:30 98

原创 代码注释方式汇总

1、行注释 // ;2、块注释 /* */ ;(可以直接注释掉一个区间)

2021-12-22 11:13:03 166

原创 AD生成bom表

AD生成bom表(注:出bom表的原理图需要在工程里,否则无法生成bom表。)

2021-12-21 10:36:59 9926

原创 电脑调出任务管理器快捷键

电脑调出任务管理器快捷键

2021-12-21 10:11:21 312

原创 拼接运算符{}

reg a,b;1、{a,b};2、{1'b1,a};3、{4{1'b1}} = 4'b1111。

2021-12-20 10:58:20 344

原创 同一个always块中实现时钟双电平或者双边沿触发信号跳变

always @(*)begin if(!rst_n) sync = 1'b0; else case(state) St_set: begin if(clk_30M) sync = 1'b1; else sync = sync; end 5'd16 : begin...

2021-12-20 10:31:23 1138

原创 笔记(2021/12/19)

VIVADO中在进行verilog代码发生error提示时,出错的地方,一般会在提示的前面。

2021-12-19 11:01:52 85

转载 FPGA中的块(block)RAM和分布式(distributed)RAM的区别

块(block)RAM和分布式(distributed)RAM的区别

2021-12-17 10:13:02 4359

原创 调用RAM IP的注意事项

调用的RAM IP,输出使能,输入地址后,要延迟一个时钟周期,该地址下的数据才会输出,如下图所示: mmodelsim仿真图中7C2为调用RAM的输出地址,一个时钟延迟后,再有上边沿才会触发RAM数据输出;(VIVADO中调用的RAM IP,有时候会出现输出莫名其妙延时两拍的情况,在仿真的时候一定要仔细看,解决办法是删除这个IP,重新调用) 如果在VIVADO中调用RAM IP时,设定默认勾选primitives output register,如下...

2021-12-14 09:39:22 1913

原创 verilog中三目运算符

verilog中三目运算符

2021-12-13 16:57:43 7738 1

原创 verilog报错汇总(2)

verilog报错汇总

2021-12-13 16:17:08 8027

原创 VIVADO中项目、文件或目录名不支持以下字符

VIVADO中项目、文件或目录名不支持的字符

2021-12-13 09:14:24 587

原创 verilog报错汇总(1)

verilog报错汇总(1)

2021-12-12 16:24:21 6155

原创 PCB问题

1、怎么区分强电和弱点? 强电传输能量(供电电路),弱点传输信号(信号传输电路)。

2021-12-12 08:58:14 162

原创 一个简单的两段式状态机

1、状态转换图:2、verilog代码:`timescale 1ns / 1psmodule Two_st( input clk, //时钟 input rst_n, //低电平复位(一般FPGA都是低电平吧,特别式altera的FPGA) input [11:0] d_in, output reg flag ); parame

2021-12-09 16:51:04 255

原创 VIVADO字符设定

VIVADO2020.2文本设定,字体大小、颜色等。(版本不一样,设定方式可能不一样)1、点击菜单栏中TOOLS选项,选择TOOLS子菜单栏中的Settings2、打开Text Editor选项卡,就可以对文本进行特定编辑了。...

2021-12-08 09:25:51 637

原创 sysgen与vivado联合开发问题

1、用sysgen生成的IP核名会和simulink的文件名一样,同一个simulink文件生成的不同IP,名字会一样,在VIVADO中就会出现IP被锁的情况,如图所示:所以要注意同一个simulink建模模型生成不同的VIVADO IP核时,simulink的文件名要不一样,否则尽管后面添加的IP核不一样,但还是会覆盖前一个IP核,导致前一个IP被锁住。...

2021-12-07 15:37:14 501

原创 在MATLAB中产生高斯白噪声

noise_y=randn(1,N);noise_y=noise_y-mean(noise_y);noise_y=noise_y/std(noise_y);a=0; %a为均值,b为方差,也就是标准差的平方b=sqrt(0.01);noise_y=a+b*noise_y;注:randn(1,N)函数为产生1*N的随机变量矩阵;mean(noise_y)将noise_y的列作为向量处理,...

2021-12-07 15:23:21 3851

原创 VIVADO仿真问题

笔记

2021-12-06 13:00:32 1645

转载 vivado仿真

Verilog的代码编写完成了,代码是否正确,需要经过仿真的验证。打开FreDivDou的工程,仿真点击Sources中的“+”,Add Sources选择添加仿真文件,点击“Next”,Add or Create Simulation Sources点击“Create File”,Create Source FileFile Type选择Verilog,File name填写仿真文件名称,点击OK,...

2021-12-05 19:32:04 13247 1

转载 Vivado关联Modelsim进行仿真

Vivado自带的仿真工具Vivado Simulator使用体验不佳,使用当前最流行的Verilog仿真工具Modelsim更加高效便捷。Vivado支持多种第三方仿真工具,包括Modelsim,Questasim等,本文将介绍如何在Vivado中使用Modelsim进行仿真。不同版本的Vivado需要搭配对应版本的modelsim,否则在运行仿真时会报错:WARNING: [Vivado 12-5495] Detected incompatible modelsim simulator inst

2021-12-05 18:59:04 1877

原创 MATLAB生成ROM用的coe文件

r=ceil(vi*(2^8-1)); %vi为输入信号,量化取整fid = fopen('wave.coe', 'w'); %创建COE文件,文件所存位置和格式fprintf(fid, 'memory_initialization_radix=10;\n'); %采用10进制,一定要主要10后面还要加;fprintf(fid, ...

2021-12-05 15:47:20 649

原创 VIVADO与Matlab版本对照表

引用自赛琳斯官网

2021-12-05 08:31:29 2271 1

原创 VIVADO安装问题

VIVADO安装(笔记)

2021-12-04 08:43:57 8818 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除