FPGA中的块(block)RAM和分布式(distributed)RAM的区别

1、块(block)RAM由FPGA内嵌的RAM资源构成,如M9K memory blocks,而分布式(distributed)RAM由逻辑资源CLB中的SliceM构成;

2、一般使用块RAM,因为FPGA中逻辑资源较少,一般的硬件电路编程都不够用,不会用来做RAM;

3、块RAM的工作一定需要工作时钟,而分布式RAM由逻辑资源构成,可在组合逻辑和时序逻辑间相互切换,即可用工作时钟,也可以不用,视具体情况而定;

4、在调用RAM IP时,需要注意自己调用的是块RAM还是分布式RAM。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值