HDL—Verilog Language—Modules:Hierarchy—Adder1

You are given a module add16 that performs a 16-bit addition. Instantiate two of them to create a 32-bit adder. One add16 module computes the lower 16 bits of the addition result, while the second add16 module computes the upper 16 bits of the result, after receiving the carry-out from the first adder. Your 32-bit adder does not need to handle carry-in (assume 0) or carry-out (ignored), but the internal modules need to in order to function correctly. (In other words, the add16 module performs 16-bit a + b + cin, while your module performs 32-bit a + b).

Connect the modules together as shown in the diagram below. The provided module add16 has the following declaration:

module add16 ( input[15:0] a, input[15:0] b, input cin, output[15:0] sum, output cout );

您将得到一个执行16位加法的模块add16。实例化其中两个以创建一个32位加法器。在接收到来自第一加法器的进位之后,一个加法器16模块计算加法结果的低16位,而第二加法器16模块则计算结果的高16位。32位加法器不需要处理进位输入(假定为0)或进位输出(忽略),但内部模块需要处理才能正常工作。(换句话说,add16模块执行16位a+b+cin,而您的模块执行32位a+b)。
如下图所示,将模块连接在一起。提供的模块add16具有以下声明:
module add16 ( input[15:0] a, input[15:0] b, input cin, output[15:0] sum, output cout );

 这个也很简单,就是在例化module的时候,在接口里面注意每个信号的为快就可以了。

module top_module(
    input [31:0] a,
    input [31:0] b,
    output [31:0] sum
);
    wire add1_cout_add2_cin;
    add16 add16_1(
        .a(a[15:0]),
        .b(b[15:0]),
        .cin(0),
        .cout(add1_cout_add2_cin),
        .sum(sum[15:0])
    );
    add16 add16_2(
        .a(a[31:16]),
        .b(b[31:16]),
        .cin(add1_cout_add2_cin),
        .cout(),
        .sum(sum[31:16])
    );
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值