HDL—Verilog Language—More Verliog Features—for loop

Given a 100-bit input vector [99:0], reverse its bit ordering.

给定一个100位的输入向量[99:0] ,反转它的位顺序。

Module Declaration

module top_module( 
    input [99:0] in,
    output [99:0] out
);

这里直接

always@(*)

for

genvar

generate

for

得到的结果都是一样的,特意搜了一下,在vivado里面综合出的电路都是一模一样的,使用哪一种都可以,不存在好坏之分,

答案:

module top_module(
    input [99:0] in,
    output [99:0] out
);
    genvar i;
    generate
        for(i = 0;i<=99;i++)
            begin:reverse_bit
                assign out[i] = in[99-i];
            end
    endgenerate
    

endmodule

Popcount255

一个“人口计数”电路计算输入向量中“1”的数目。建立一个255位输入向量的人口计数电路。

Module Declaration

module top_module( 
    input [254:0] in,
    output [7:0] out );

答案:

module top_module(
    input [254:0] in,
    output [7:0] out );

    
    always@(*)
        begin
        out = 0;
            for(int i = 0;i<255;i++)
            out = out + in [i];
        end
    
endmodule

对out的定义需要在always块里面

i的初始化可以在for循环中

out可以直接被+=

Adder100i

通过实例化100个完整的加法器,创建一个100位二进制波动进位加法器。

加法器添加两个100位数和一个进位以产生100位和并执行。

为了鼓励您实际实例化完整加法器,还输出纹波进位加法器中每个完整加法器的进位。

Cout [99]是最后一个完整加法器的最后一个加法器,也是你通常看到的加法器。

Module Declaration

module top_module( 
    input [99:0] a, b,
    input cin,
    output [99:0] cout,
    output [99:0] sum );

先写一个简单的1位全加器模块

module adder(
    input a,
    input b,
    input cin,
    output cout,
    output sum
);
    assign {cout,sum} = a + b + cin;
endmodule

之后用generate for去做一个100位的

module top_module(
    input [99:0] a, b,
    input cin,
    output [99:0] cout,
    output [99:0] sum );

    genvar i;
    adder adder_inst0(
        .a(a[0]),
        .b(b[0]),
        .cin(cin),
        .cout(cout[0]),
        .sum(sum[0])
    );

    generate
        for(i = 1;i<100;i++)
            begin:add_full
                adder adder_inst(
                    .a(a[i]),
                    .b(b[i]),
                    .cin(cout[i-1]),
                    .cout(cout[i]),
                    .sum(sum[i])
                );
            end  
    endgenerate
                
endmodule

Bcdadd100

您将获得一个名为 BCD _ fadd 的 BCD 一位加法器,它将添加两个 BCD 数字和进位,并产生一个和和和进位。

module bcd_fadd (
    input [3:0] a,
    input [3:0] b,
    input     cin,
    output   cout,
    output [3:0] sum );

实例化100个 BCD _ fadd 副本,以创建一个100位 BCD 涟漪进位加法器。您的加法器应该添加两个100位 BCD 数字(打包成400位向量)和一个进位,以产生一个100位的和并执行。

Module Declaration

module top_module( 
    input [399:0] a, b,
    input cin,
    output cout,
    output [399:0] sum );

这就直接反复调用这个给的模块就可以了

module top_module(
    input [399:0] a, b,
    input cin,
    output cout,
    output [399:0] sum );
    
    wire [99:0]c1;
    
    bcd_fadd bcd_fadd_inst_0
    (
        .a(a[3:0]),
        .b(b[3:0]),
        .cin(cin),
        .cout(c1[0]),
        .sum(sum[3:0])
    );
    genvar i;
    generate
        for(i=1;i<100;i++)
            begin:bcdadd100
                bcd_fadd bcd_fadd_inst
                (
                    .a(a[4*i+3:4*i]),
                    .b(b[4*i+3:4*i]),
                    .cin(c1[i-1]),
                    .cout(c1[i]),
                    .sum(sum[4*i+3:4*i])
                );
            end
    endgenerate
    
    assign cout = c1[99];
                    
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值