C51最小系统板红外遥控控制小车

C51最小系统板红外遥控控制小车

一、前言

​ 本文将实现用51的最小系统板以及一个红外遥控来控制小车的7种状态:前进、后退、左转、右转、加速、减速、停止。

​ 本文重点不在于红外的原理解释,须事先知到红外遥控的键值

​ 本文重点:小车连线、PWM控制车速。

​ 写这篇文章的目的:1.方便后面如果有需要的话自己回看;2.能给纯小白提供一点思路

二、所需材料

1.智能小车底板×1

2.直流电机×4

3.L298N电机驱动模块×1

4.51最小系统板(含STC89C52芯片)×1

5.红外遥控×1

6.面包板×1(非必需,仅为了方便连线)

7.干电池(我这里用的是12v的,9v左右可能刚好,6v可能有点低)

8.杜邦线若干

9.螺丝钉螺丝帽若干

10.铜柱若干(用来固定各模块)

11.USB转TTL下载器×1

三、小车连线

​ 我使用的L298N如下图所示:

lkhdg_看图王

​ 最小系统板和USB转TTL模块如下:


红外接收模块:

接线说明:
L298N -- 
IN1 -- P1.2
IN2 -- P1.3
IN3 -- P1.6
IN4 -- P1.7
ENA -- P1.4
ENB -- P1.5
OUT1 -- 左电机接口1
OUT2 -- 左电机接口2
OUT3 -- 右电机接口1
OUT4 -- 右电机接口2
12v -- 电池盒正极
GND -- 电池盒负极
GND -- 单片机GND
5v -- 单片机5v
红外模块数据接收口 -- P3.2
红外模块vcc -- vcc
红外模块GND -- GND

​ 接线比较乱的话建议用面包板引出公共端。

四、动手编程序

​ 红外遥控这里可以参考上一篇文章:https://blog.csdn.net/Aiden_yan/article/details/122932969?spm=1001.2014.3001.5501

4.1 根据连线对电机的IO口进行定义

/*电机驱动IO定义*/
sbit IN1=P1^2; //为1 左电机反转
sbit IN2=P1^3; //为1 左电机正转

sbit IN3=P1^4; //为1 右电机正转
sbit IN4=P1^5; //为1 右电机反转

sbit EN1=P1^0; //为1 左电机使能
sbit EN2=P1^7; //为1 右电机使能
//--------------------//

4.2 封装一下电机的使能和正转反转控制

//----------- 功能定义 -----------//
#define left_motor_en		    EN1=1 //左电机使能
#define left_motor_stops		EN1=0 //左电机停止
#define right_motor_en		  EN2=1 //右电机使能
#define right_motor_stops		EN2=0 //右电机停止
 
#define left_motor_go		    IN1=1,IN2=0 //左电机正转
#define left_motor_back		  IN1=0,IN2=1 //左电机反转
#define right_motor_go		  IN3=0,IN4=1 //右电机正转
#define right_motor_back		IN3=1,IN4=0 //右电机反转
//----------- 功能定义 -----------//

注意:这个正转反转的IN1和IN2是可以调整的,也就是根据你的接线来调整的,如果你接好之后发现电机的转向不一样,可以调整这里的IN口。

4.3 函数定义

//函数定义
void delay(unsigned int z); 
void delay_us(unsigned int aa); 
void forward();//小车前进
void backward();//小车后退
void left();//小车左转
void right();//小车右转
void stop();//小车停止
void speed_up();//加速
void speed_down();//减速
void PWM();

4.4 小车前进后退左转右转

/*小车前进*/
void forward()
{	
	left_motor_en;
	right_motor_en;
	left_motor_go;//左电机正转
	right_motor_go;//右电机正转
}
 
/*小车后退*/
void backward()
{
	left_motor_en;
	right_motor_en;
	left_motor_back;//左电机反转
	right_motor_back;//右电机反转
}

 
/*小车左转*/
void left()
{
	left_motor_stops;
	right_motor_en;
	right_motor_go;//右电机正转
}

/*小车右转*/
void right()
{
	left_motor_en;
	right_motor_stops;
	left_motor_go;//左电机正转
}

4.5 小车的加速减速以及停止

​ 小车的速度控制需要用到pwm,这里先简单介绍一下。

​ 电源电压输出是固定的,电机的转速也因此是固定的,为了调节电机的转速,就得改变电源电压的输出。用PWM调制的方法,把恒定的直流电源电压调制成频率一定宽度可变的脉冲电压序列,从而可以改变平均输出电压的大小,以调节电机的转速。电源电压在此处就是51MCU的引脚输出,4.5-5V,只要在引脚上产生频率可调的波形即可。我的代码里设定一个周期为40ms,假如不加速度控制,那么这40ms里面将会持续输出高电平,此时的占空比就是100%;假如我用20ms输出高电平,20ms输出低电平,那么占空比将为50%;同理,当我用8ms输出高电平,32ms输出低电平,此时占空比为20%。

​ 周期的计数很简单,我们只需要编写一个函数,然后把它放在中断里:

//pwm波控制电机的转速
void PWM()
{
    static unsigned int cnt;
    cnt++;
    //一个周期
    if(cnt==40)
    {
        cnt=0;
    }
    if(cnt <= speed)//小于等于才符合正常的逻辑,如果是大于等于,则speed越大,车速越小
    {
			left_motor_en;	//左电机使能
			right_motor_en;	//右电机使能
    }
    else
    {
			left_motor_stops; 	//左电机停止
			right_motor_stops;	//右电机停止
    }
}

void time0() interrupt 1   //定义定时器0
{
	IRtime++; 			   //检测脉宽,1次为278us
	PWM();  //在中断中自动计数
}

​ 这样当speed越大的时候,占空比就越大,从而车速越高。

/*小车停止*/
void stop()
{
	speed = 0;
	left_motor_stops;//左电机停止
	right_motor_stops;//右电机停止
}

/*小车加速*/
void speed_up()
{
	speed = speed + 2;
}

/*小车减速*/
void speed_down()
{
	if(speed >= 2)
		speed = speed - 2;
    else
        speed = 0;
}

4.6全部程序代码

​ 由于我原来的遥控器搞丢了,随便找了个红外遥控器,所以我这里的键值是不全的。读者可以在main()函数中的switch语句里修改对应的case值为自己红外遥控器的键值。如果需要修改车速,修改speed的值即可。

#include<reg52.h> 

/* 	C51红外遥控控制小车前进后退左转右转加速减速停止程序
		接线说明:
		L298N -- 
		IN1 -- P1.2
		IN2 -- P1.3
		IN3 -- P1.6
		IN4 -- P1.7
		ENA -- P1.4
		ENB -- P1.5
		OUT1 -- 左电机接口1
		OUT2 -- 左电机接口2
		OUT3 -- 右电机接口1
		OUT4 -- 右电机接口2
		12v -- 电池盒正极
		GND -- 电池盒负极
		GND -- 单片机GND
		5v -- 单片机5v
*/

/*电机驱动IO定义*/
sbit IN1=P1^2; //为1 左电机反转
sbit IN2=P1^3; //为1 左电机正转

sbit IN3=P1^4; //为1 右电机正转
sbit IN4=P1^5; //为1 右电机反转

sbit EN1=P1^0; //为1 左电机使能
sbit EN2=P1^7; //为1 右电机使能
//--------------------//

//----------- 功能定义 -----------//
#define left_motor_en		    EN1=1 //左电机使能
#define left_motor_stops		EN1=0 //左电机停止
#define right_motor_en		  EN2=1 //右电机使能
#define right_motor_stops		EN2=0 //右电机停止
 
#define left_motor_go		    IN1=1,IN2=0 //左电机正转
#define left_motor_back		  IN1=0,IN2=1 //左电机反转
#define right_motor_go		  IN3=0,IN4=1 //右电机正转
#define right_motor_back		IN3=1,IN4=0 //右电机反转
//----------- 功能定义 -----------//

//函数定义
void delay(unsigned int z); 
void delay_us(unsigned int aa); 
void forward();//小车前进
void backward();//小车后退
void left();//小车左转
void right();//小车右转
void stop();//小车停止
void speed_up();//加速
void speed_down();//减速
void PWM();


/*====================================
 自定义类型名
====================================*/
typedef unsigned char uchar;
typedef unsigned int uint;

/*====================================
 硬件接口位声明
====================================*/
sbit IR  = P3^2;     //定义红外脉冲数据接口	外部中断O输入口

uint speed; // 定义车速

uchar IRtime; 		//检测红外高电平持续时间(脉宽)
uchar IRcord[4];    //此数组用于储存分离出来的4个字节的数据(用户码2个字节+键值码2个字节)
uchar IRdata[33];   //此数组用于储存红外的33位数据(第一位为引导码用户码16+键值码16)
bit IRpro_ok, IRok;  //第一个用于红外接收4个字节完毕。IRok用为检测脉宽完毕
void init();
void IRcordpro();


void IRcordpro()   				 //提取它的33次脉宽进行数据解码
{
	uchar i, j, k, cord, value;	/*i用于处理4个字节,j用于处理一个字节中每一位,k用于33次脉宽中的哪一位
	cord用于取出脉宽的时间判断是否符合1的脉宽时间*/
	k = 1; 						//从第一位脉宽开始取,丢弃引导码脉宽
	for(i = 0; i < 4; i++)
	{
		for(j = 0; j < 8; j++)
		{
			cord = IRdata[k];	    //把脉宽存入cord
			if(cord > 5)	 		//如果脉宽大于我11.0592的t0溢出率为约278us*5=1390那么判断为1
			value = value | 0x80;	/*接收的时候是先接收最低位,
			把最低位先放到value的最高位在和0x08按位或一下
			这样不会改变valua的其他位的数值只会让他最高位为1*/
			if(j < 7)
			{
				value = value >> 1;	//value位左移依次接收8位数据。
			}
			k++;				//每执行一次脉宽位加1
		}
		IRcord[i] = value;	   //每处理完一个字节把它放入IRcord数组中。
		value = 0; 			   //清零value方便下次在存入数据
	}
	IRpro_ok = 1;				   //接收完4个字节后IRpro ok置1表示红外解码完成	
}

/*******************主函数**************************/ 
void main() 
{ 
	init();	//执行初始化定时器0和外部中断0
	EN1 = EN2 = 0;//一开始时不使能电机
	speed = 8;//设定初始速度为8,8/40=0.2,所以初始是20%占空比,speed越大占空比越高,从而车的速度越大
	while(1) 
	{ 
		if(IRok)    //判断脉宽是否检测完毕                    
		{   
			IRcordpro();//根据脉宽解码出4个字节的数据
			IRok = 0;	//重新等待脉宽检测
			if(IRpro_ok) //判断是否解码完毕  
			{
		        switch(IRcord[2])
		   		{
				     case 0x18:   forward();		 break;  //前进	
				     case 0x52:   backward();		break;  //后退
//						 case 0x08:  left();				break;//左转
//						 case 0x5A:  right();				break;//右转
						 case 0x1C:  stop();				break;//停止
						 case 0x08:  speed_up();				break;//加速
						 case 0x5A:  speed_down();				break;//减速
					   default:		break;
		   		}
				IRpro_ok = 0;
			}
		}
	}
} 


/******************z 秒延时函数*************************/ 
void delay(unsigned int z) 
{ 
	unsigned int x,y; 
	for(x=z;x>0;x--) 
		for(y=110;y>0;y--); 
} 
/****************微妙延时******************************/ 
void delay_us(unsigned int aa) 
{ 
	while(aa--); 
}


/*小车前进*/
void	forward()
{	
	left_motor_en;
	right_motor_en;
	left_motor_go;//左电机正转
	right_motor_go;//右电机正转
}
 
/*小车后退*/
void	backward()
{
	left_motor_en;
	right_motor_en;
	left_motor_back;//左电机反转
	right_motor_back;//右电机反转
}

 
/*小车左转*/
void left()
{
	left_motor_stops;
	right_motor_en;
	right_motor_go;//右电机正转
}

/*小车右转*/
void right()
{
	left_motor_en;
	right_motor_stops;
	left_motor_go;//左电机正转
}

/*小车停止*/
void stop()
{
	speed = 0;
	left_motor_stops;//左电机停止
	right_motor_stops;//右电机停止
}

/*小车加速*/
void speed_up()
{
	speed = speed + 2;
}

/*小车减速*/
void speed_down()
{
	if(speed >= 2)
		speed = speed - 2;
    else
        speed = 0;
}


void init()	   //初始化定时器0 和外部中断0
{
	TMOD = 0x02; //定时器0工作方式2,8位自动重装
	TH0 = 0x00;  //高8位装入0那么定时器溢出一次的时间是256个机器周期
	TL0 = 0x00;
	EA = 1;      //总中断
	ET0 = 1;	   //定时器0中断
	TR0 = 1;     //启动定时器0

	IT0 = 1;	   //设置外部中断0为跳沿触发方式,来一个下降沿触发一次
	EX0 = 1;	   //启动外部中断0
}

void time0() interrupt 1   //定义定时器0
{
	IRtime++; 			   //检测脉宽,1次为278us
	PWM();  //在中断中自动计数
}

void int0() interrupt 0	  		//定义外部中断0
{
	static uchar i;	 			//	声明静态变量(在跳出函数后在回来执行的时候不会丢失数值)i用于把33次高电平的持续时间存入IRdata
	static bit startflag;		//开始储存脉宽标志位
	if(startflag)	 			//开始接收脉宽检测
	{
		if( (IRtime < 53) && (IRtime >= 32) ) /*判断是否是引导码,底电平9000us+高4500us	
		这个自己可以算我以11.0592来算了NEC协议的引导码低8000-10000+高4000-5000 
		如果已经接收了引导码那么i不会被置0就会开始依次存入脉宽*/
		i = 0;				 //如果是引导码那么执行i=0把他存到IRdata的第一个位
		IRdata[i] = IRtime;  		 //以T0的溢出次数来计算脉宽,把这个时间存到数组里面到后面判断
		IRtime = 0;				 //计数清零,下一个下降沿的时候在存入脉宽
		i++; 					 //计数脉宽存入的次数
		if(i == 33) 				 //如果存入34次 数组的下标是从0开始i等于33表示执行了34次
		{
		 	IRok = 1;				 //那么表示脉宽检测完毕
			i = 0; 				 //把脉宽计数清零准备下次存入
		}
	}
	else		  
	{
		IRtime = 0; 				 //引导码开始进入把脉宽计数清零开始计数
		startflag = 1;			 //开始处理标志位置1
	}
}

//pwm波控制电机的转速
void PWM()
{
    static unsigned int cnt;
    cnt++;
    //一个周期
    if(cnt==40)
    {
        cnt=0;
    }
    if(cnt <= speed)//小于等于才符合正常的逻辑,如果是大于等于,则speed越大,车速越小
    {
			left_motor_en;	//左电机使能
			right_motor_en;	//右电机使能
    }
    else
    {
			left_motor_stops; 	//左电机停止
			right_motor_stops;	//右电机停止
    }
}

代码就这一个,我全部写在一个.c文件里了。

参考资料

1.51单片机PWM控制电机:https://blog.csdn.net/lixiangminghate/article/details/42342595

2.脉冲宽度调制:https://baike.baidu.com/item/%E8%84%89%E5%86%B2%E5%AE%BD%E5%BA%A6%E8%B0%83%E5%88%B6/10813756?fr=aladdin

  • 25
    点赞
  • 170
    收藏
    觉得还不错? 一键收藏
  • 13
    评论
### 回答1: C51单片机红外遥控小车是一种使用C51单片机控制的具备红外遥控功能的小型车辆。该小车通过接收红外信号来实现远程控制功能,并利用C51单片机进行信号的解码和控制电机的转动。 C51单片机是一种经典的8位单片机,具有丰富的外设资源和强大的运算能力。通过编程,我们可以将红外接收头连接至单片机的外部中断口,使其能够实时接收外界通过红外遥控器发送的信号。 当红外接收头接收到红外信号时,C51单片机会利用软件对信号进行解码,提取出对应的指令信息。通过编写相应的控制程序,我们可以实现小车的各项功能,如前进、后退、左转、右转等。经过编码和解码处理,我们可以将指令信息转换为控制信号,通过控制电机及相关驱动电路实现小车的动作。 此外,为了使红外遥控小车能够实现更加复杂的功能,我们可以通过编写更加复杂的控制程序,赋予其避障、跟踪等功能。通过利用C51单片机的强大运算能力,我们可以根据小车周围的传感器数据,实现对小车运动的智能控制。 总之,C51单片机红外遥控小车是一种灵活、易于控制的小型车辆,通过C51单片机和红外接收头的配合,实现了对小车的远程控制功能。 ### 回答2: C51单片机红外遥控小车是一种由C51单片机控制红外遥控车辆。该小车通过接收红外信号进行控制,可以实现远程控制和操控。 C51单片机是一种经典的单片机,具有高性能,强大的处理能力和丰富的外设接口,非常适合用于控制小车等智能设备的开发。 红外遥控技术是一种基于红外光信号的无线通信技术,通过发送和接收红外光信号来实现设备之间的数据交互。在红外遥控小车中,我们可以通过使用红外发射器和红外接收器,发送和接收红外信号来实现对小车控制。 当我们按下红外遥控器上的按键时,红外发射器会向小车发送特定的红外信号。然后,红外接收器会接收到信号,并将其发送给C51单片机进行解码。C51单片机会根据接收到的信号进行相应的处理,然后控制小车的电机启动、停止、转向等动作。 在小车的开发过程中,我们需要对C51单片机进行编程,并设计电路连接红外接收器、红外发射器、电机等元件。通过合理的代码设计和电路连接,我们可以实现红外遥控小车功能。 总结来说,C51单片机红外遥控小车是一种通过C51单片机控制小车,通过发送和接收红外信号来实现远程控制。它利用C51单片机的高性能和丰富的接口,实现了对小车的精确控制,是一种非常有趣和有用的智能设备。 ### 回答3: C51单片机红外遥控小车是一种使用C51单片机作为控制核心的小车,它能够通过红外遥控方式实现控制操作。 红外遥控技术是一种将电子信号转化为红外线信号进行无线传输的技术。通过红外遥控器向C51单片机发送指令,C51单片机接收到指令后进行解析,并执行相应的操作。因此,C51单片机红外遥控小车能够实现根据不同的遥控指令进行前进、后退、左转、右转等运动。 该小车的设计原理是利用C51单片机的输入输出口与一些电动元件进行连接。红外遥控器通过发送特定的红外信号,C51单片机接收到信号后进行解码,得到相应的指令。然后,控制电机、车轮等电动元件进行相应的运动。 在设计过程中,需要首先明确红外遥控器的编码方式,即确定不同按键对应的红外信号。然后,利用C51单片机提供的相应的编码/解码功能,对接收到的红外信号进行解码处理。根据解码结果,控制电机实现小车的运动。 此外,为了实现更复杂的功能,可以在C51单片机中添加其他传感器,例如距离传感器、红外避障传感器等。通过这些传感器的反馈信号,可以实现自动避障、自动停止等功能。 总的来说,C51单片机红外遥控小车是一种将C51单片机与红外遥控技术相结合的智能小车。通过遥控器发送指令,实现小车运动的控制。此外,也可以通过添加其他传感器,为小车增加更多的功能

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 13
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值