自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 收藏
  • 关注

原创 软复位和硬复位

软复位和硬复位

2024-06-22 15:08:52 346

原创 时序设计中的“打拍”

rtl设计中的“打拍”

2024-06-22 14:34:54 441

原创 【DSP】02 定点数基础知识

如果两个带符号的数相乘,规则完全相同,将符号位算作整数的一部分,然后当你将带符号的数字相乘时,只需在计算中包含符号位。现在我们要构造b乘以b。对于b乘以b乘以b,将会加上b的长度和b乘以b的长度。我们将第4位设为1,将第0位也设为1,因为2的4次方是16加上2的0次方是1,16加1等于17。想表示1024.5,可以从2的幂次构造二进制数字,可以有2的负幂次,这些负幂次的2变成了2的小数幂次。所以在表示时需要一个整数位,如果处理带符号的数字,还需要一个符号位,但今天只考虑无符号数字,所以暂时不需要符号位。

2024-02-02 22:11:17 289

原创 【DSP】01 FPGA算法:多项式窗口示例

然后我们做的第二件事是尝试找出方程式中一次又一次使用的公共部分,如果是平方或立方,通过做一次平方值然后在各处使用,去最小化我们的乘法器。然后在第四个时钟周期,我将实现所有的标量乘法,我需要将b的平方乘以6,在两种情况下都是如此,这样我就创建了6b的平方,然后我将创建我的2b的立方、6b的立方和6b。现在我们将注意力放到立方项上。然后是b的立方,即b的平方乘以b,这是第二次乘法。所以我打算将1减去b的三次方展开成多项式展开式,这样,尽管公式变长了,但我可以重用我的b的三次方,而不是必须做1减去b的三次方。

2024-02-02 17:18:32 655

原创 【初学者】FPGA中时钟和时序的概念(未完)

根据你的应用程序,其中一个或两个都是必要的,随着时间的推移,您获得更多的经验,您将更好地更直观地了解每个工作人员在特定时钟速率下可以完成多少工作,以及随着时钟速率的上升,他们完成工作的能力会变弱,因为他们的时间更少。这就是FPGA中有关时钟的概念,我们需要经验的积累,去更好地设置FPGA的时钟。但是,如果传送带移动得非常快,工人很着急,那么传送带的速率将会带来很大影响,无论是 FPGA 上的任务还是现场运行的任务。,如果我们有更快的时钟,那么我们的传送带移动得更快,我们的样本移动得更快;

2024-01-25 22:24:46 887

原创 Zynq项目中使用ILA(内置逻辑分析仪)分析信号

ILA的使用

2024-01-25 07:49:21 962 3

原创 Zynq学习笔记:02 HDL和Vivado框图

红色的是我用来与框图接口的那些。我今天将向大家展示我是如何把这些放在一起的,我今天要做的第一件事是创建这些信号,这些信号从框图中输出到我的代码中。Vivado创建了这个wrapper文件,并将这些内部信号转发到设备外部。这就是我们目前所拥有的。

2024-01-23 23:07:18 1100 1

原创 Zynq学习笔记:01 PL Fabric GPIO和BRAM的Vitis示例

点击“navigate to BSP settings”按钮,可以看到它已经选择了我们的两个外设:我们在fabric中添加了BRAM和GPIO外设,Vivado在xsa文件中告诉Vitis:我们在PL中有这些IP,因此这些驱动程序是可用的。此外,针对这些驱动程序还有一些文档说明和例程。比如如果想要获取一个例程,可以选择那个例程,如下图,得到了一个获取GPIO IP的例程:

2024-01-23 22:47:58 1359

原创 【Verilog】HDLBits刷题 03 Verilog语言(2)(未完)

instanceof modulemod_ain1in2, andoutab, andoutmod_a(下图显示了一个带有子模块的非常简单的电路。在本练习中,创建模块 mod_a 的一个实例,然后将该模块的三个引脚(in1、in2 和 out)连接到顶级模块的三个端口(电线 a、b 和 out)。模块 mod_a 是为您提供的 - 您必须实例化它。

2024-01-22 23:14:54 983

原创 Zynq学习笔记:00 Vivado block diagram

Vitis 处理 CPU 端,CPU 需要知道我们刚刚分配的这些地址,它需要知道这些地址是什么,因此它需要知道我们正在使用哪些 AXI 端口,它需要知道这些地址是什么 这些端口是,它需要知道这些地址末尾有哪些外设,因此这将导出我们将在 Vitis 中使用的 xsa 文件。因此,在输出情况下,当我们从处理器写入 AXI 总线到这个特定的外设地址时,该寄存器将将该值写入信号输出。slave和master相连后,在总线(interconnect)上的每一个slave和master都有自己的时钟和reset信号。

2024-01-22 23:13:42 1416

原创 2023秋 机考题

【题目描述】给出一个由小写字母组成的字符串,找出两个相邻且相同的字母,并删除它们。在该字符串上反复执行上述操作,直到无法继续删除。在完成所有重复项删除操作后返回最终的字符串。1. 1<=输入的字符长度<=200002. 输入的字符串仅由小写字母组成【输入描述】小写字母组成的字符串【输出描述】删除后的字符串【样例输入】dbbdut【样例输出】ut。

2023-09-24 00:19:04 71

原创 【Verilog】HDLBits刷题 02 Verilog语言(1)

(创建一个具有一个输入和一个输出的模块,其行为类似于一根电线。

2023-09-19 02:18:56 272

原创 【Verilog】HDLBits刷题 01 入门

刷题记录

2023-09-19 01:41:53 79

原创 【持续更新】学习FPGA时遇到的报错

1. 新建 “.mif” 文档时,报错:初始化时,没有.mif文件,generate output products之后才生成 “.mif”文件。再者直接编辑 “.mif” 文件,再综合实现生成bit文件,通过sdk读取 rom 中的数据与编辑后的.mif文件中的内容一致。【解决方式】先不新建 .mif 文件,执行。

2023-09-19 01:33:14 586

原创 FPGA学习(Zynq)01——NAND_gate

开发板:ZedBoard项目:创建一个与非门。

2023-08-20 21:40:48 356

原创 Python常用指令及常用库

1. 文件解压:python解压函数:extractall_zhanlve2的博客-CSDN博客

2023-07-22 20:43:44 62

原创 一些通信领域相关的缩写总结(RF-SoC)

阅读外文文献时遇到的一些专有名词缩写总结

2023-04-12 21:32:36 283

原创 LeetCode 2244 数组越界报错 AddressSanitizer: heap-buffer-overflow

LeetCode数组越界报错 heap-buffer-overflow解决方法

2023-01-05 10:59:23 378

原创 Vivado官网下载

Vivado下载

2022-10-15 18:58:20 9729

原创 Python Automation Test - Chapter 2: Selenium

python automation test自学笔记

2022-04-28 00:00:29 698

原创 Python Automation Test - Chapter 1: pytest

python automation test自学笔记

2022-04-27 09:38:00 862

原创 【Jmeter学习笔记】01 配置环境

目前Mac环境:macOS 11.3.1一、安装JDK1、下载并安装官网:Java Downloads | Oracle需要注册一下,Mac的安装包选 jdk-8u321-macosx-x64.dmg2、配置Java环境变量(1)打开终端输入“/usr/libexec/java_home -V”,查找Java安装路径,并复制/usr/libexec/java_home -V(2)终端中输入”vi .bash_profile“修改环境变量:vi .bash...

2022-02-17 11:32:11 514

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除