AXI_UART调试说明-PS使用AXI_Uartlite拓展PL端串口资源

1 篇文章 0 订阅
1 篇文章 0 订阅

AXI_UART调试说明-PS使用AXI_Uartlite拓展PL端串口资源

本调试说明针对xc7z020clg400-2展开,工程建立在vivado 2018.3上,实验平台米联客MZ7XB-FUN。
在这里插入图片描述
背景:PS端UART资源有限,难以满足实际运用中多串口的需求。
具体方法:PS通过AXI总线调用PL的资源进行UART的拓展,本说明采用vivado自带的IP核AXI Uartlite完成,属于AXI_GPIO。

一、调试步骤:
Step1:在Block Design文件中添加ZYNQ7 PS核和AXI Uartlite,两个IP核的配置如下图,完成后点击OK。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
Step2:连接M_AXI_GP0_ACLK和FCLK_CLK0,分别点击Run Block Automation和Run Connection Automation,最终的连线图如下:
在这里插入图片描述
Step3:右键点击BD文件,分别完成Generate Output Product和Create HDL Wrapper。
在这里插入图片描述
Step4:添加管脚约束文件,如下图。
接着综合、实现和产生bit流。完成Export Hardware和Launch SDK。

set_property PACKAGE_PIN G18 [get_ports uart_rtl_0_rxd]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_0_rxd]
set_property PACKAGE_PIN G17 [get_ports uart_rtl_0_txd]
set_property IOSTANDARD LVCMOS33 [get_ports uart_rtl_0_txd]

Step5:进入到SDK中,新建工程,右键点击bsp文件,将stdin和stdout设置为工程对应的axi_uartlite_0。
在这里插入图片描述
Step6:编写uart实现代码如下,将Step4配置的EMIO管脚引出,使用USB to TTL串口线转接后,连接至调试终端,利用串口调试助手,即可完成数据的收发功能测试。
在这里插入图片描述
图片所示软件来源于大虾丁丁的串口数据调试器SSCOM V5.04a
Main函数代码如下:

#include "xuartlite.h"
#include "xparameters.h"
#include "sleep.h"
#include "xil_printf.h"
#include "string.h"

int main()
{
	//实例化xuart2
	XUartLite  xuart2;//uart对象

	//查找uart配置
	XUartLite_Config *XUARTConfigPtr;
	XUARTConfigPtr = XUartLite_LookupConfig(XPAR_UARTLITE_0_DEVICE_ID);//ID来源于xparameters.h
	if (XUARTConfigPtr == NULL){
		//在bsp中设置为uartlite0负责stdout
		print("failed XUartlite_LookupConfig\n\r");
		return XST_FAILURE;
	}
	else{
		print("succeed XUartlite_LookupConfig\n\r");
	}
	//uart初始化
	int Status;

	Status = XUartLite_Initialize(&xuart2, XPAR_UARTLITE_0_DEVICE_ID);
	//Status = XUartLite_CfgInitialize(&xuart2, XUARTConfigPtr, XUARTConfigPtr->RegBaseAddr);
	if (Status != XST_SUCCESS){
		print("failed Initialize\n\r");
		return XST_FAILURE;
	}
	else{
		print("succeed Initialize\n\r");
	}
	//接收缓冲
	u8 buf[64]={0};			
u32 recv_cnt = 0;
	while (TRUE){
		usleep(500000);//等待500ms
		recv_cnt = XUartLite_Recv(&xuart2, buf, 64);//接收数据

		if (recv_cnt > 0){
			//返回接收到的数据
			XUartLite_Send(&xuart2, buf, recv_cnt);

			//清空缓冲
			memset(buf, 0, 64);
			recv_cnt = 0;
		}
	}
	return 0;
}

代码说明:
1.通过DEVICE_ID查找uart配置。

XUARTConfigPtr = XUartLite_LookupConfig(XPAR_UARTLITE_0_DEVICE_ID);

2.初始化

Status = XUartLite_Initialize(&xuart2, XPAR_UARTLITE_0_DEVICE_ID);

3.如果收到数据,就全部发出。

recv_cnt = XUartLite_Recv(&xuart2, buf, 64);//接收数据
	if (recv_cnt > 0){
		//返回接收到的数据
		XUartLite_Send(&xuart2, buf, recv_cnt);
		//清空缓冲
		memset(buf, 0, 64);
		recv_cnt = 0;
	}

二、Debug过程
1.选用PL端L15和L14分别作为RX和TX引脚,串口调试助手无任何显示,怀疑管脚问题。查看xc7z020clg400pkg.xlsx和ug865-Zynq-7000-Pkg-Pinout.pdf,两个管脚均为AD,只有Input。
结论与解决方法:下图中的User I/O Pins为用户可用的可入可出引脚,因而分别选用G18、G17作为RX和TX引脚。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
2.换用G18、G17作为RX和TX引脚后,串口调试助手可收,但为乱码。怀疑是波特率不匹配或者电平不匹配。查看对比串口调试助手和IP核的配置,以及axi-uartlite的使用说明pg142-axi-uartlite.pdf(文档中不涉及停止位和校验位的配置)后,发现并无不同。板子串口TX引脚为何持续为高电平。
Debug过程:
① G17->D19,还是常亮

② 利用PS端串口UART1验证是PL问题还是PS问题:
基本Hello World程序验证基本串口功能
UART1 ->D19 D20 ×与原来现象相同

在这里插入图片描述

③ RS232 TX和RX引脚短接,终端收发自闭环,验证得串口调试助手、USB转RS232串口线正常;
开发板TX和RX引脚短接,试验平台收发自闭环(main函数修改如下图),验证得开发板收发正常,即PL和PS端均正确配置。

int main()
{
	//实例化xuart2
	XUartLite  xuart2;//uart对象

	//查找uart配置
	XUartLite_Config *XUARTConfigPtr;
	XUARTConfigPtr = XUartLite_LookupConfig(XPAR_UARTLITE_0_DEVICE_ID);//ID来源于xparameters.h
	if (XUARTConfigPtr == NULL){
		//在bsp中设置为uartlite0负责stdout
		//print("failed XUartlite_LookupConfig\n\r");
		return XST_FAILURE;
	}
	else{
		//print("succeed XUartlite_LookupConfig\n\r");
	}

	//uart初始化
	int Status;

	Status = XUartLite_Initialize(&xuart2, XPAR_UARTLITE_0_DEVICE_ID);
	if (Status != XST_SUCCESS){
		//print("failed Initialize\n\r");
		return XST_FAILURE;
	}
	else{
		//print("succeed Initialize\n\r");
	}

	//接收缓冲
	u8 buf[64]={0x55,0x55,0x55,0x55,0x55};
	u8 buf2[64]={0};

	//接收字节数
	u32 recv_cnt = 0;

	while (TRUE){
		XUartLite_Send(&xuart2, buf, 5);
		usleep(500000);//等待500ms
		recv_cnt = XUartLite_Recv(&xuart2, buf2, 64);//接收数据
		memset(buf2, 0, 64);
		recv_cnt = 0;
	}

	return 0;
}

④ 之前曾用万用表测得G17引脚电平值为3.3V,现在再测USB转RS232串口线的RX和TX引脚电平值,为6.8V,定位为电平问题。
结论与解决方法:FPGA的管脚均为TTL电平,而USB转RS232得到的为232电平,电平不匹配。(今后端口外接先确保两侧电平匹配,防止因电平问题损坏硬件)换用USB转TTL串口线。Over!!

参考:1.https://blog.csdn.net/taowei1314520/article/details/81087464
2.https://blog.csdn.net/weixin_40640020/article/details/92408688?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159961705319725222419121%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fall.%2522%257D&request_id=159961705319725222419121&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v3~pc_rank_v3-2-92408688.pc_ecpm_v3_pc_rank_v3&utm_term=%E5%B0%86PS%E7%9A%84%E4%B8%B2%E5%8F%A3%E6%98%A0%E5%B0%84%E5%88%B0EMIO&spm=1018.2118.3001.4187

工程文件:https://download.csdn.net/download/Allen20_zhang/12839535

  • 6
    点赞
  • 63
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值