Verilog之串并转换

1.串行转并行

1.1 利用移位寄存器

采用位移拼接技术,当使能信号发出时,进行移位输出(注意低位和高位先赋值表达方式不同);当使能信号无时,后续数据不发出。

module serial_parallel(
    input           clk,
    input           rst_n,en,
    input           data_i,   //一位输入
    output   reg [7:0] data_o	//8位并行输出
    );

always @(posedge clk or negedge rst_n) begin
	if (!rst_n)
		data_o <= 8'd0;
	else if (en)
		data_o <= {data_o[6:0], data_i};	//低位先赋值
		//data_o <= {data_i,data_o[7:1],};	//高位先赋值
	else
		data_o <= data_o;
end

endmodule

1.2 利用计数器

利用计数器,每过一个周期移动一位,数据输出一位;

​
module serial_parallel(
    input           clk,
    input           rst_n,en,
    input           data_i,   //一位输入
    output   reg [7:0] data_o	//8位并行输出
    );

reg [2:0]cnt;//如果data位数不是刚好为7,那么还需要考虑加到最大值后回到0的情况
always @(posedge clk, negedge rst_n)begin
  if(!rst_n)
    cnt<=3'd0;
  else 
    cnt<=cnt+1'b1;
end

always @(posedge clk, negedge rst_n)begin
  if(!rst_n)
    data_o<=8'd0;
  else
    data_o[7-cnt]<=data_i;//最先输入最高位
    //data_o[cnt]<=data_i;//最先输入最低位
end

endmodule

​

2. 并行转串行

思路是:先将八位数据暂存于一个四位寄存器器中,然后左移输出到一位输出端口,这里通过一个“移位”指令,使能信号en表示开始进行并行到串行的转换

module parallel_serial(clk, rst_n, en, data_i, data_o);
	
	input clk, rst_n,en;
	input [7:0] data_i;
	output data_o;

	reg [7:0]data_buf;
    always @(posedge clk, negedge rst_n)begin
      if(!rst_n)
         data_buf<=8'd0;
      else if(en)
         data_buf<=data_i;
      else
         data_buf<=data_buf<<1;//未使能就移位,先输出最高位
    end
    
    assign data_o=data_buf[7];

endmodule

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ann_xia66

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值