实现74HC283的四位二进制进位全加器的功能

VerilogHDL程序设计与仿真作业5:

——实现74HC283的四位二进制进位全加器的功能

一、实验目的

  • 实现74HC283的四位二进制进位全加器的功能

二、实现74HC283的功能

1、设计思路

  • 根据进位信号和输出信号的逻辑表达式(74HC283逻辑图)以及真值表示例,结合行为级、数据流建模即可实现74HC283的四位二进制进位全加器的功能。
  • 进位信号和输出信号等逻辑表达式(74HC283逻辑图)

在这里插入图片描述

  • 74HC283的真值表示例

在这里插入图片描述

2、实现代码

//文件名称:_74HC283.v
//fuchaoxinHUST11302020
module _74HC283(C_1,A,B,CO,S);
input C_1;
input [3:0] A,B;
output CO;
output [3:0] S;
/*说明:
输入端:[3:0] A,B为待加数,C_1为最低位的进位。
输出端:[3:0] S为输出数,CO为最高位的进位。
*/

// 中间变量定义
wire [3:0] P,G,C;

assign P=A^B;
assign G=A&B;
// 得到P为传输信号,G为产生信号

genvar i;
assign C[0]=G[0]|(P[0]&C_1);
for(i=1;i<=3;i=i+1) assign C[i]=G[i]|(P[i]&C[i-1]);
// 依次根据各位进位信号的逻辑表达式进行数据流建模

assign CO=C[3];
// 得到输出进位信号

assign S[0]=P[0]^C_1;
for(i=1;i<=3;i=i+1) assign S[i]=P[i]^C[i-1];
// 依次根据各位输出信号的逻辑表达式进行数据流建模

endmodule

3、测试代码

//文件名称:Test_74HC283.v
//fuchaoxinHUST11302020
`timescale 10ns/1ns
module Test_74HC283;
reg C_1;
reg [3:0] A,B;
wire CO;
wire [3:0] S;

 _74HC283 U0(C_1,A,B,CO,S);//实例化元件
initial 
    $monitor($time,":\t C_1=%b, A=%b, B=%b, CO=%b, S=%b \n", C_1, A, B, CO, S); 
    //监视器的显示内容
initial begin
//正常显示
    A=4'b0000; B=4'b0000; C_1=0;
    #5
    A=4'b0001; B=4'b0001; C_1=1;
    #5
    A=4'b0010; B=4'b0010; C_1=0;
    #5
    A=4'b0011; B=4'b0011; C_1=1;
    #5
    A=4'b0100; B=4'b0100; C_1=0;
    #5
    A=4'b1111; B=4'b1111; C_1=1;
    #5
    $stop;//停止模拟仿真
end
endmodule

4、仿真

  • 波形
    在这里插入图片描述

  • 监控器

在这里插入图片描述

  • 12
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
74HC253 双4选1数据选择器(三态) 74HC257 四2选1数据选择器(三态) 74HC259 8位可寻址锁存器 74HC266 四2输入异或非门(OC) 74HC273 八D型触发器(带清除端) 74HC279 四R—S锁存器 74HC280 9位奇偶数产生器/校验器 74HC283 4位二进制全加器(带超前进位) 74HC298 4位2选1数据选择器 74HC365 六缓冲器/总线驱动(同相) 74HC366 六缓冲器/总线驱动(反相) 74HC367 六缓冲器/总线驱动(同相) 74HC368 六缓冲器/总线驱动(反相) 74HC373 八D触发器(三态) 74HC374 八D触发器(三态) 74HC377 八D触发器 74HC386 四2输入异或门 74HC390 双4位十进制计数器 74HC393 双4位二进制计数器(异步清除) 74HC540 八缓冲器/总线驱动器 74HC541 八缓冲器/总线驱动器 74HC573 八D锁存器(三态) 74HC574 八D锁存器(三态) 74HC589 8位输入锁存输出移位寄存器 74HC595 8位输出锁存移位寄存器 74HC688 8位数值比较器/等值检测器 74HC4002 从4输入或非门 74HC4015 双4位串入并出移位寄存器 74HC4017 十进制计数器/分配器 74HC4040 12位二进制串行计数器 74HC4046 锁相环 74HC4049 六缓冲器/电平变换器(反相) 74HC4050 六缓冲器/电平变换器(同相) 74HC4051 单8通道模拟开关 74HC4052 双4通道摸拟开关 74HC4053 三2通道模拟开关 74HC4060 14位二进制串行计数器 74HC4066 四双向模拟开关 74HC4520 双二进制加法计数器 74HC4538 双精密单稳态触发器 74HC4543 7段锁存/译码/驱动器 74HC4551 四2通道模拟开关 74HC132 四2输入与非施密特触发器 74HC138 3—8线译码器/多路转换器 74HC139 双2—4线译码器/多路转换器 74HC145 BCD—十进制译码器/驱动器 74HC147 10—4线BCD优先编码器 74HC148 8选1数据选择器 74HC151 双4选1数据选择器 74HC153 4—16线多路分配器 74HC154 4—16线多路分配器 74HC155 双2—4线多路分配器 74HC156 双2—4线多路分配器 74HC157 四2选1数据选择器 74HC158 四2选1数据选择器 74HC160 同步BCD十进制计数器 74HC161 同步4位二进制计数器 74HC162 同步十进制计数器(同步清除) 74HC163 4位二进制计数器(同步清除) 74HC164 8位移位寄存器(并入并出) 74HC165 8位移位寄存器(并入串出) 74HC166 8位移位寄存器(串并入串出) 74HC173 4位D型寄存器(三态) 74HC174 六D型触发器(带清除端) 74HC175 四D型触发器(带清除端) 74HC181 运算器/函数发生器 74HC182 超前进位发生器 74HC190 BCD十进制同步可逆计数器 74HC191 4位二进制同步可逆计数器 74HC192 BCD十进制同步可逆计数器 74HC193 4位二进制同步可逆计数器 74HC194 4位双向通用移位寄存器 74HC195 4位并行存取移位寄存器 74HC221 双单稳态多谐振荡器 74HC237 3—8线译码器(带地扯锁存) 74HC238 3—8线译码器/多路分配器 74HC240 八缓冲器/驱动器/接收器 74HC241 八缓冲器/驱动器/接收器 74HC242 四总线收发器(三态、反相) 74HC243 四总线收发器(三态、同相) 74HC244 八缓冲器/驱动器/接收器 74HC245 八总线收发器(三态) 74HC251 8选1数据选择器(三态) 74HC00 四2输入与非门 74HC02 四2输入或非门 74HC04 六反相器 74HC05 六反相器(OC) 74HC08 四2输入与门 74HC09 四2输入与门(OC) 74HC10 三3输入与非门 74HC11 三3输入与门 74HC14 六反相器(施密特触发) 74HC20 双4输入与非门 74HC21 双4输入与门 74HC27 三3输入或非门 74HC30 8输入与非门 74HC32 四2输入或门 74HC42 BCD—十进制译码器 74HC5

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值