【VHDL语言学习笔记(二)】 4位向量加法器

目的:使用VHDL文本输入法设计并实现一个4位向量加法器。

 程序

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity add_4 is
	port(
		Ai,Bi		:in std_logic_vector(3 downto 0);
		Ci			:in std_logic;
		So			:out std_logic_vector(3 downto 0);		--SO全加和输出,Co进位输出
		Co			:out std_logic
		);
end add_4;

architecture behave of add_4 is
signal C	:std_logic_vector(4 downto 0);	--中间信号变量
begin
	process(Ai,Bi)
	begin
	C(0) <= Ci;
		for n in 0 to 3 loop
			So(n) <= Ai(n) xor Bi(n) xor C(n);
			C(n+1) <= (Ai(n) and Bi(n)) or ((Ai(n) xor Bi(n)) and C(n));
		end loop;
	Co <= C(4);
	end process;
end behave;

仿真图

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

单片机学习之路

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值