【VHDL语言学习笔记(七)】 分频器

目的:实现分频器设计。

1.  设计一个分频器实现将原信号进行8分频,所得信号占空比为50%。

分频是将单一频率信号的频率降为原来的1/N,就叫N分频。将信号进行8分频,即所得信号频率是原信号频率的1/8,则其所得信号的1个周期等于原始信号的8个周期。

占空比是高电平持续时间占整个周期的比值。占空比为50%意思是高低电平持续时间一样,均为半个周期的时间长。

程序

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity frequency_divider is
	port(
		clk		:in std_logic;	--原始信号
		fp_out	:out std_logic	--经过分频后的输出信号
		);
end frequency_divider;

architecture behave of frequency_divider is
begin 
	process(clk)
		variable count	:integer := 0;	--integer决定分频数N
	begin
		if(clk'event and clk = '1') then	--上升沿
			count := count + 1;		--对上升沿的个数进行计数,其实就是对原始信号的周期进行计数
			if(count < 5) then
				fp_out <= '1';	--输出信号在原始信号的前四个周期内保持高电平
			elsif(count <8) then
				fp_out <= '0';	--输出信号在原始信号的后四个周期
  • 15
    点赞
  • 108
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻萌的路飞

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值