基于FPGA的时钟IP核介绍

本文介绍了FPGA中的时钟IP核MMCM PLL的使用,包括其在Xilinx FPGA中的作用,配置过程,以及如何在Vivado中创建和配置时钟IP核。通过实例展示了如何进行时钟倍频、相位调整,并提供了顶层模块的Verilog代码及仿真验证。
摘要由CSDN通过智能技术生成

时钟IP核介绍
一、时钟IP核(MMCM PLL)简介。
一般简单的代码只能用于时钟的分频,而对于倍频却无法实现。本次小编主要介绍一下时钟IP核(PLL和MMCM)的简单使用,实现频率的倍频、分频、相位动态调整等。
在Xilinx的FPGA中,CMT包含PLL和MMCM。
PLL(Phase Locked Loop): 为锁相回路或锁相环,用来统一整合时钟信号,使高频器件正常工作,如内存的存取资料等。PLL用于振荡器中的反馈技术。
MMCM(混合模式时钟管理):是基于PLL的新型混合模式时钟管理器,实现了最低的抖动和抖动滤波,为高性能的FPGA设计提供更高性能的时钟管理功能。

二、vivado中时钟IP核的配置
IP核名称为Clocking Wizard,直接在IP Catalog中搜索即可。接下来演示简单的配置过程:
在这里插入图片描述
![在这里插入图片描述](https://img-blog.csdni
选择类型是PLL还是MMCM,由于MMCM是基于PLL的设计,包含PLL的功能,则一般选择MMCM。第二步是系统时钟的输入,有两个通道,一般选用一个即可,小编的系统时钟为50M,可根据自己板子的情况而设。

小编为大家演示四个时钟的配置,系统时钟是50MHz,分别配置100M、100M加上180度的相移、50M和25M。注意第四步locked信号的选择,此信号可以判断时钟输出是否稳定。第五步可以设置是全局时钟还是区域时钟,本次小编选

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值