考虑溢出和进位的4位全加器_Verilog代码及测试文件

考虑溢出的4位全加器的Verilog代码:

代码文件:

//4位全加器_行为描述语言
module adder4(
         input wire [3:0] a,
		 input wire [3:0] b,
		 output reg [3:0] s,
		 output reg cf,
		 output reg ovf
		 );
	     reg [4:0] temp;
	always @(*)
	  begin
	     temp={1'b0,a}+{1'b0,b};
		 s=temp[3:0];
		 cf=temp[4];
		 ovf=a[3]^b[3]^s[3]^cf;
	  end
endmodule

测试文件代码:

//全加器测试平台
module adder4_tb;
         reg [3:0] a;
		 reg [3:0] b;
		 wire [3:0] s;
		 wire cf;
		 wire ovf;
	
	adder4 uut(
	          .a(a),
			  .b(b),
			  .s(s),
			  .cf(cf),
			  .ovf(ovf)
			  );
	initial 
        begin 
	    a=4'b0000;
		b=4'b0001;
		end   
        always #2    
          begin  
          a[0]=~a[0];  
          end  
        always #4   
          begin  
          a[1]=~a[1];  
		  b[0]=~b[0];
          end  
        always #6    
          begin  
          a[2]=~a[2];
		  b[1]=~b[1];
          end  
        always #8   
          begin  
          a[3]=~a[3];
          b[2]=~b[2];
          end  
		always #10
		 begin 
		 b[3]=~b[3];
		 end
endmodule 

激励是随便加的,没有经过评估,不一定能出现全部情况,只是为了说明问题。

modelsim仿真结果:



  • 6
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值