二选一多路选择器

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

前言

二选一多路选择器用来解决什么问题?

用来将多个输入信号中选择一个信号进行输出。可以实现数据选择、控制流选择等。

一、二选一多路选择器是什么?

是将多个输入进行选择性单个输出。

二、verilog编程

1.源文件

代码如下(示例):

module mux2//功能定义名字

(     

a,b,sel,out//输入端口

);

input a;//对端口进行定义,输入和输出

input b;

input sel;

output out;

assign out=(sel==1)?a:b;//一个简单的赋值语句,当sel=1时,out=a;sel=0,out=b

endmodule

2.test_bench(1600ns的时序仿真)

代码如下(示例):

`timescale 1ns/1ns //第一个1ns是单位,第二个1ns是精度

module mux2_tb();

reg s_a,

reg s_b,

reg sel,

wire out

 mux mux2_inst0(

.a(s_a);

.b(s_b);

.sel(sel);

.out(out);

);

initial begin

s_a=0;s_b=0;sel=0;#200;

s_a=0;s_b=0;sel=1;#200;

s_a=0;s_b=1;sel=0;#200;

s_a=0;s_b=1;sel=1;#200;

s_a=1;s_b=0;sel=0;#200;

s_a=1;s_b=0;sel=1;#200;

s_a=1;s_b=1;sel=0;#200;

s_a=1;s_b=1;sel=1;#200;

$stop;

end

endmodule

三、时序仿真结果分析

结果显示,有着接近8.163ns的延迟。


总结

fpga的基本设计流程:

1、设计定义

2、设计输入输出

3、分析综合(vivado,quartus II,ISE)

4、功能仿真

5、布局布线

6、分析性能(静态时序分析,vivado)

7、板级调试

8、整理资料

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值