【计算机组成原理】使用Quartus设计16位二选一的选择器

设计16位二选一的选择器

选择控制端 s输出端x
0a
1b

要求如下:

  • 编写VHDL代码

代码如下:

-- 十六位二选一的选择器
library ieee;
use ieee.std_logic_1164.all;

entity one_mux2_16 is
	port(a, b: in std_logic_vector(15 downto 0);
			s: in std_logic;
			f_linxuan: out std_logic_vector(15 downto 0));
end one_mux2_16;

architecture behave of one_mux2_16 is
	begin
		process(a, b, s)
			begin
			if s = '0' then
				f_linxuan<= a;
			else
				f_linxuan<= b;
			end if;
		end process;
end behave;
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值