我的创作纪念日

目录

机缘

收获

日常

成就

憧憬


机缘

在2024年4月3日,我发布了第一篇技术博客《Zynq开发之-PYNQ配置,XVC(Xilinx Virtual Cable)调试》。这一天对我来说意义非凡,因为它标志着我正式踏上了技术创作的旅程。最初的动机源于我在实战项目中的经验分享和日常学习过程中的记录。我希望通过文章进行技术交流,与更多志同道合的朋友分享我的心得和体会。


收获

在这段时间里,我收获了许多宝贵的经验和反馈。

我的博客一些志同道合的朋友的关注,给我了许多正向的反馈,在此也感谢各位朋友的阅读、点赞和评论。

通过创作,我结识了许多志同道合的领域同行,大家在技术交流中互相学习,共同进步。这些收获不仅让我感到欣慰,也激励我继续前行。


日常

创作已经成为我生活的一部分。尽管日常工作和学习非常忙碌,但我始终坚持创作。有限的精力下,我学会了如何平衡创作和工作学习。通过合理安排时间,我能够在工作之余抽出时间进行创作,记录下自己的技术成长和心得体会。


成就

在这段时间里,尽管还有很多需要学习和提升的地方,我还是取得了一些小小的进步。以下是我发布的文章:

KV260+视觉AI(11篇)

  • KV260视觉AI套件评测:详细介绍了Xilinx Kria KV260视觉AI套件的硬件配置、接口和使用体验,帮助开发者快速上手。
  • 多摄像头支持:探讨了如何利用KV260的多摄像头接口进行复杂的视觉应用开发,包括MIPI传感器接口和USB摄像头的使用2。
  • 智能摄像头加速应用:介绍了如何使用KV260进行智能摄像头的开发,利用内置的机器学习模型进行面部和行人检测。

Vitis AI(9篇)

  • Vitis AI基础:涵盖了Vitis AI的基本概念和开发环境的搭建,包括模型检查、校准和量化。
  • AI模型库:介绍了Vitis AI提供的丰富AI模型库,如何在PyTorch、TensorFlow和ONNX中使用这些模型进行深度学习推理。
  • 优化工具:详细讲解了Vitis AI的优化工具,如量化器和编译器,如何提高模型的精度和处理效率。

Zynq(10篇)

  • Zynq架构介绍:深入解析了Zynq UltraScale+系列的架构,探讨了PS和PL之间的通信机制。
  • AXI总线仿真:分享了如何使用AXI VIP进行AXI总线的仿真,帮助开发者更好地理解和应用AXI协议。
  • PYNQ快速入门:提供了详细的PYNQ配置指南和XVC调试方法,帮助新手快速上手Zynq开发。

嵌入式硬件(5篇)

  • Xilinx FPGA DDR4接口配置:详细介绍了Xilinx FPGA DDR4接口的配置方法和注意事项,帮助开发者优化内存性能。
  • SelectIO接口逻辑资源:探讨了Xilinx FPGA UltraScale SelectIO接口的逻辑资源配置和应用场景。
  • 硬件设计实践:分享了电子硬件设计中的实际案例,如LTC3839学习笔记,帮助读者提升硬件设计能力。

电磁场(14篇)

  • CST Microwave Studio使用指南:提供了CST Microwave Studio的详细教程,涵盖了基本操作和高级功能。
  • 天线设计与仿真:分享了使用CST进行天线设计和仿真的经验,包括Dipole天线和PCB Stackup的建模。
  • 电磁兼容性分析:探讨了CST在电磁兼容性分析中的应用,帮助工程师优化设计以满足EMC/EMI要求。

口袋实验室(8篇)

  • AD2高效调试:介绍了如何使用Digilent AD2进行IIC和SPI接口的高效调试,提升开发效率1112。
  • 电子学霸神器:详细讲解了如何使用AD2/3进行各种电子元件的测量和测试,如电容、电感和二极管。
  • 频谱参数测试:分享了使用AD2进行频谱参数测试的方法和技巧,帮助读者更好地理解和应用频谱分析。

Vitis HLS(55篇)

  • 高层次综合设计:介绍了Vitis HLS的设计流程和编程模型,帮助开发者从C/C++代码生成高效的RTL。
  • 优化与调试:分享了Vitis HLS的优化和调试工具,如何通过流水线、展开和阵列分区等技术提升设计性能。
  • 实际案例分析:提供了多个实际案例,展示了如何使用Vitis HLS进行复杂算法的设计和优化。

其他(3篇)

  • 一些心得和感悟

憧憬

未来,我希望继续在技术创作的道路上前行,不断提升自己的技术水平和创作能力。我计划深入研究更多前沿技术,并将这些知识分享给更多的读者。同时,我也希望能够在职业规划上有所突破,成为一名更加专业的作者和技术专家。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值