任意奇数次分频VHDL 源代码

LIBRARY IEEE;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_1164.ALL;


ENTITY DEV3 IS
GENERIC (N:INTEGER :=3)
PORT(CLK,CLR:IN STD_LOGIC;
     CLKOUT:OUT STD_LOGIC);
END ENTITY;


ARCHITECTURE RTL OF DEV3 IS
SIGNAL COUNTER1:INTEGER RANGE 0 TO N-1;
SIGNAL COUNTER2:INTEGER RANGE 0 TO N-1;
SIGNAL CLK_REG,CLK_REG1: STD_LOGIC;

BEGIN

PROCESS(CLR,CLK)
BEGIN
    
    IF CLR='1' THEN
       COUNTER1<=0;
       CLK_REG<='0';
    ELSIF CLK'EVENT AND CLK='1' THEN
       IF COUNTER1=N-1 THEN
          COUNTER1<=0;
          CLK_REG<=NOT CLK_REG;
       ELSIF COUNTER1=(N-1)/2 THEN
          COUNTER1<=COUNTER1+1;
          CLK_REG<=NOT CLK_REG;
       ELSE
            COUNTER1<=COUNTER1+1;
       END IF;
    END IF;
END PROCESS;


PROCESS(CLR,CLK)
BEGIN
    
    IF CLR='1' THEN
       COUNTER2<=0;
       CLK_REG1<='0';
    ELSIF CLK'EVENT AND CLK='0' THEN
       IF COUNTER2=N-1 THEN
          COUNTER2<=0;
          CLK_REG1<=NOT CLK_REG;
       ELSIF COUNTER2=(N-1)/2 THEN
          COUNTER2<=COUNTER2+1;
          CLK_REG1<=NOT CLK_REG1;
       ELSE
            COUNTER2<=COUNTER2+1;
       END IF;
    END IF;
END PROCESS;

CLKOUT<=CLK_REG OR CLK_REG1;

END RTL;
        
 

  • 7
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

GJZGRB

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值