iverilog安装

开始学习Verilog HDL了,别人推荐了iverilog这个小型仿真工具。比起大型的IDE,个人感觉初期还是用这些简洁的工具要好一些。


iverilog运行于终端模式下,安装完成之后通过iverilog执行编译,生成的文件通过vvp执行仿真。
配合gtkwave可以实现图形化的波形显示。

关于iverilog的安装,官网给出了教程
另外,网上的教程比较多。

主要就是三个平台:
PC:没装过,所以不知道好不好装

Linux/Ubuntu:
官网给出的教程有点复杂,我试了一下直接用下面的命令就可以安装。
sudo apt-get install iverilog
sudo apt-get install gtkwave

可以给Ubuntu添加一些国内的源,我这个是在163的源上下载的。
PS.我的Ubuntu是12.04。

Mac OS X:
因为我用的MacBook,所以我在Mac下面也装了一个。
系统是10.9 Mavericks,装下来花了好长时间。

首先我想自己编译,然后从GitHub上下载了源码
在本机上编译,好像是因为10.9的c编译器是llvm,不是GNU gcc
所以按照官网给的步骤自己编译没成功
(期间提示缺少autoconf,又得用brew安装一个autoconf,相当麻烦。)

然后没办法,还是直接通过macports或是homebrew安装吧。
macports和homebrew,个人感觉有点类似于Linux上的apt-get。
homebrew安装的时候,会要求安装xcode-select。

Macports安装iverilog:
sudo ports -d -v install iverilog
sudo ports -d -v install gtkwave


homebrew安装iverilog:
brew install icarus-verilog

不得不吐槽一下校园网,用brew安装直接失败(应该是网速的问题),用Mac ports安装也是试了n多次,花了好长时间才安装好。两个加起来才几十M的软件啊,花了我几个小时。

安装完成之后,可以运行下面的命令检测是否安装好了
which iverilog
which vvp
which gtkwave

会分别提示安装的位置。

至此,iverilog的安装就完成了。

  • 3
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
您可以从\[1\]提供的网址(http://bleyer.org/icarus/)下载iverilog安装iverilog非常简单,只需按照以下步骤进行操作: 1. 下载iverilog并选择适合您系统的版本。 2. 安装过程中,一路点击“next”,注意选择需要安装的组件。 3. 安装完成后,按下快捷键“win+r”,输入“cmd”打开运行窗口。 4. 在命令行中输入“iverilog”,如果显示了相关信息,则说明安装成功。 另外,根据\[3\]的引用,编译安装iverilog时可能需要一些依赖项,如readline、termcap、gperf、bison、flex、g++、Make和autoconf。您可以根据安装过程的提示逐步安装这些依赖项。 希望这些信息对您有所帮助! #### 引用[.reference_title] - *1* [sublime软件关于使用Verilog开发的一些使用小插件,技巧](https://blog.csdn.net/qq_45029834/article/details/130639689)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [在win10系统中应用iverilog(Icarus verilog)学习笔记](https://blog.csdn.net/weixin_43645114/article/details/126892637)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [iverilog安装-从下载到安装](https://blog.csdn.net/limanjihe/article/details/122514229)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值