vscode+iverilog+gtkwave安装及配置

基本情况:已安装vscode与verilog相关插件,想要配置iverilog和gtkwave,获得随时检错以及快速查看波形的效果。本文是在下列参考链接第一个的基础上做了些补充,主要是自己踩的坑。

ctags

在github上下载安装包,页面点击release,里面是由新到旧的版本,不懂clang是什么意思,因此选择一个x64的下载了。

复制ctags的安装文件夹路径,添加到环境变量。注意路径是到文件夹为止,不包括.exe。

iverilog

下载时记得勾选“添加到环境变量”。检验是否添加成功的方法:cmd输入where iverilog

注意:安装路径不能有中文,不能有空格。我是因为安装到了D:\Program Files(x86)下面,有空格,所以一直不行,后面根据报错判断出来的

之后再配置verilog扩展的一系列选项,就可以成功检错了。

vscode

打开终端:快捷键ctrl + ~,也可点击左下角

成功喽

参考资料:

用VSCode编辑verilog代码、iverilog编译、自动例化、自动补全、自动格式化等常用插件 - 知乎 (zhihu.com)全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程 - whik - 博客园 (cnblogs.com)FPGA逻辑神器vscode+iverilog+GTKWave_vscode fpga-CSDN博客解决‘C:\Program‘ 不是内部或外部命令,也不是可运行的程序或批处理文件_program不是内部或外部命令,也不是可运行的程序-CSDN博客

  • 6
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值