开源verilog仿真工具iverilog的安装与使用

本文介绍了如何在Ubuntu 16.04上安装和使用开源Verilog仿真器iverilog,包括通过apt-get安装,配合gtkwave查看波形,以及编译和运行简单测试。尽管iverilog版本较旧,但对于小规模模块仿真仍足够使用。此外,还提到了生成不同格式的波形文件以减小文件大小。
摘要由CSDN通过智能技术生成

今天有空,突发奇想想试试开源verilog仿真器,看看到底效果如何。
我用的是iverilog,  全名--ICARUS Verilog.

我的机器是ubuntu 16.04
iverilog的安装
sudo apt-get install iverilog
iverilog的删除,先不管这个
sudo apt-get remove iverilog

安装iverilog的时候,他还会建议再安装一个gtkwave包,那就都装上。
sudo apt-get install gtkwave
这时又自动装了这个libjudydebian1,不知道干什么用的。
gtkwave这个是用来看vcd波形的。
安装完后还没法直接用,退出再登录进来就可以了


安装好了以后看了下版本:
iverilog -v
Icarus Verilog version 0.9.7  (v0_9_7)
Copyright 1998-2010 Stephen Williams
               ~~~~~
好像比较老,时间居然是2010,汗~~~汗~~

gtkwave -v
GTKWave Analyzer v3.3.66 (w)1999-2015 BSI
这个新一点


后来我又在git上找了个地址
https://github.com/steveicarus/iverilog.git
这个估计比较新一点。看了看,编译安装有点小复杂,参考

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值