search

// search.cpp -- 2011-10-01-23.31
#include "stdafx.h"
#include <iostream>
#include <algorithm>
#include <vector>
#include <functional>

using std ::vector ;
using std ::not_equal_to ;

int _tmain(int argc, _TCHAR* argv[])
{
	int arr1[] = {1, 2, 3, 4, 5, 7, 8, 9} ;
	vector<int> vec1(arr1, arr1 + sizeof arr1 / sizeof (int)) ;
	int arr2[] = {1, 0, 3, 4} ;
	vector<int> vec2(arr2, arr2 + sizeof arr2 / sizeof (int)) ;

	//	search (beg1, end1, beg2, end2) ;
	//	操作前:[beg1,end1)标示第一个输入序列.[beg2,end2)标示第二个输入序列.
	//	操作后:确定[beg1,end1)输入序列中是否存在[beg2,end2)序列.
	//	返回值:如果[beg1,end1)中存在[beg2,end2)序列,返回指向该序列在[beg1,end1)中第一次出现位置的迭代器.
	//					否则返回end1.
	//	备注:		beg1和beg2的类型必须兼容,否则将无法通过编译.
	vector<int> ::iterator iter = search (vec1.begin(), vec1.end(), vec2.begin(), vec2.end()) ;
	if (iter != vec1.end())
		std ::cout << *iter << std ::endl ;

	//	search (beg1, end1, beg2, end2, equal_to<int> ()) ;
	//	操作前:[beg1,end1)标示第一个输入序列.[beg2,end2)标示第二个输入序列.equal_to<int> ()操作符是二元函数对象.
	//	操作后:确定[beg1,end1)输入序列中是否存在[beg2,end2)序列.
	//	返回值:如果[beg1,end1)中存在[beg2,end2)序列,返回指向该序列在[beg1,end1)中第一次出现位置的迭代器.
	//					否则返回end1.
	//	备注:		beg1和beg2的类型必须兼容,否则将无法通过编译.
	//					相等元素是使equal<int> ()为真的元素.
	iter = search (vec1.begin(), vec1.end(), vec2.begin(), vec2.end(), not_equal_to<int> ()) ;
	if (iter != vec1.end())
		std ::cout << *iter << std ::endl ;

	std ::cin.get() ;

	return 0 ;
}
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值