FPGA学习记录 第一天

这篇博客记录了作者开始学习FPGA的第一天,包括在VSCode中搭建Verilog开发环境的步骤,参考的教程链接以及初步学习的内容,如逻辑值、变量类型、算术运算符等。还提到了设计流程和项目工程文件的组织结构,以及FPGA开发中的一些关键概念和注意事项,如阻塞与非阻塞赋值、latch的产生原因。
摘要由CSDN通过智能技术生成

为了锐捷网络杯B组赛题,进行FPGA的学习,并最终完成基于FPGA的温控风扇的实现。

第一天


首先是vscode中verilog开发环境的搭建:

环境配置下载:https://pan.baidu.com/s/14GYb4Cm1revUFfAR3OHdPw

提取码:3ler

搭建开发环境参考b站教程(BV1S541147GB)


然后进行FPGA开发的学习

参考b站野火_firege的教程(BV17z411i7er)

学习至P14

部分笔记:


逻辑值

0         低电平                                              
1         高电平
z         高阻态,无驱动
x         未知逻辑电平

变量类型

wire    [0:0]    flag;    //瞬态值,映射为物理连线
reg     [7:0]    cnt;     //对某一时间点状态进行保持,映射为寄存器

参数类型

parameter    CNT_MAX = 100;    //可在模块中使用,也可在实例化中修改
localparam   CNT_MAX = 100;    //只能在模块中使用,不能实例化

常量

/*
    格式:[换算为2进制后的位数]['][进制符][该进制下的数值]
    如8'd171为八比特数,值为十进制的171
    d 十进制    h 十六进制    o 八进制    b 二进制
    如果直接写数字,则默认表示32bit十进制数
*/

 算术运算符

+        
-
* 乘&
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值