【STM32单片机】自动售货机控制系统设计


一、功能简介

本项目使用STM32F103C8T6单片机控制器,使用OLED显示模块、矩阵按键模块、LED和蜂鸣器、继电器模块等。
主要功能:
系统运行后,OLED显示系统初始界面,可通过矩阵按键S1和S5选择商品,OLED液晶显示商品价格,共有8种价格商品可供选择,且选择的商品对应LED会有指示。可通过S9和S13选择商品数量,当需要返回时可按下S16键,选择好商品和数量后可按下S12键,此时OLED显示累计商品总价,此时可通过键盘输入投币数,OLED显示投币值,若投币数值大于等于商品累计总价,则驱动继电器出货,且蜂鸣器报警提示,OLED显示找零数。若投币数小于商品累计总价,则OLED显示继续投币。


二、软件设计

/*
作者:嗨小易(QQ:3443792007)

*/


//系统功能控制
void sys_fun_ctrl(void)
{
	u8 key=0;
	static u8 sta=0;

	//扫描按键
	key=KEY_Matrix_Scan();
	//商品选择和数量显示
	if((key==1 || key==5) && sta==0)
	{
		sta=1;//仅显示一次
		OLED_Clear();
		OLED_ShowString(0,0,"Money:",16);
		OLED_ShowString(10*8,0,"Num:",16);
		OLED_ShowString(0,30,"OK",16);
		OLED_ShowString(14*8,30,"NO",16);	
	}
	if(sta)
	{
		switch(key)
		{
			case 1://商品价格+
				sys_ctrl.pric++;
				if(sys_ctrl.pric>8)sys_ctrl.pric=1;
				break;
			case 5://商品价格-
				sys_ctrl.pric--;
				if(sys_ctrl.pric<1)sys_ctrl.pric=8;	
				break;
			case 9://商品数量+
				sys_ctrl.num++;
				if(sys_ctrl.num>9)sys_ctrl.num=1;
				break;
			case 13://商品数量-
				sys_ctrl.num--;
				if(sys_ctrl.num<1)sys_ctrl.num=9;	
				break;
	
			case 12://OK,商品选择完成
				//总计商品价格
				sys_ctrl.pric=sys_ctrl.pric*sys_ctrl.num;	
				break;
	
			case 16://NO,返回/取消
				sta=0;
				sys_ctrl.runflag=0;
				//关闭LED指示灯
				LED1=1;LED2=1;LED3=1;LED4=1;
				LED5=1;LED6=1;LED7=1;LED8=1;
				sys_parm_init();
				sys_open_show();	
				break;
	
			case 2://投币1
				sys_ctrl.coin+=1;	
				break;
			case 3://投币50
				sys_ctrl.coin+=50;	
				break;
			case 6://投币5
				sys_ctrl.coin+=5;	
				break;
			case 7://投币100
				sys_ctrl.coin+=100;	
				break;
			case 10://投币10
				sys_ctrl.coin+=10;	
				break;
			case 14://投币20
				sys_ctrl.coin+=20;	
				break;
		}
		
		//商品价格和数量显示
		if(key==1 || key==5 || key==9 || key==13)
		{
			//商品价格显示
			OLED_ShowxNum(6*8,0,sys_ctrl.pric,1,16,0,1);
			//商品数量显示
			OLED_ShowxNum(14*8,0,sys_ctrl.num,1,16,0,1);
	
			//商品LED指示
			switch(sys_ctrl.pric)
			{
				case 1: 
					LED1=0;LED2=1;LED3=1;LED4=1;
					LED5=1;LED6=1;LED7=1;LED8=1;
					break;
				case 2: 
					LED1=1;LED2=0;LED3=1;LED4=1;
					LED5=1;LED6=1;LED7=1;LED8=1;
					break;
				case 3: 
					LED1=1;LED2=1;LED3=0;LED4=1;
					LED5=1;LED6=1;LED7=1;LED8=1;
					break;
				case 4: 
					LED1=1;LED2=1;LED3=1;LED4=0;
					LED5=1;LED6=1;LED7=1;LED8=1;
					break;
				case 5: 
					LED1=1;LED2=1;LED3=1;LED4=1;
					LED5=0;LED6=1;LED7=1;LED8=1;
					break;
				case 6: 
					LED1=1;LED2=1;LED3=1;LED4=1;
					LED5=1;LED6=0;LED7=1;LED8=1;
					break;
				case 7: 
					LED1=1;LED2=1;LED3=1;LED4=1;
					LED5=1;LED6=1;LED7=0;LED8=1;
					break;
				case 8: 
					LED1=1;LED2=1;LED3=1;LED4=1;
					LED5=1;LED6=1;LED7=1;LED8=0;
					break;
			}
		}
		//OK,商品选择完成
		if(key==12)
		{
			OLED_Clear();
			OLED_ShowString(0,0,"SUM:",16);
			OLED_ShowString(7*8,0,"Input:",16);
			OLED_ShowString(0,30,"OK",16);
			OLED_ShowString(14*8,30,"NO",16);
			//商品总价显示
			OLED_ShowxNum(4*8,0,sys_ctrl.pric,2,16,0,1);
			sys_ctrl.runflag=1;//商品选择完成标记
		}	
	}	
	
	//投币显示
	if((key==2 || key==3 || key==6 || key==7 || key==10 || key==14)&& sys_ctrl.runflag==1)
	{
		OLED_ShowxNum(13*8,0,sys_ctrl.coin,3,16,0,1);
		OLED_Refresh_Gram();//刷新显示缓存
		delay_ms(1500);//能显示一下投币数	
	}
	if(sys_ctrl.coin!=0 && sys_ctrl.runflag==1)
	{
		//如果投币数大于商品价格累计总和,则启动继电器出货和找零
		if(sys_ctrl.coin>=sys_ctrl.pric)
		{
			OLED_Clear();
			OLED_ShowString(0,0,"Shipment...",16);
			OLED_ShowString(0,30,"Change:",16);
			//显示找零数据
			sys_ctrl.change=sys_ctrl.coin-sys_ctrl.pric;
			OLED_ShowxNum(7*8,30,sys_ctrl.change,3,16,0,1);
			OLED_Refresh_Gram();//刷新显示缓存			
			LED0=0;//打开商品输出
			BEEP_Alarm(1000,100);//打开蜂鸣器
			delay_ms(1000);delay_ms(1000);
			LED0=1;//关闭商品输出
			//关闭LED指示灯
			LED1=1;LED2=1;LED3=1;LED4=1;
			LED5=1;LED6=1;LED7=1;LED8=1;
			sys_parm_init();
			sys_open_show();
			sta=0;
			sys_ctrl.runflag=0;
		}
		//如果投币数小于商品价格累计总和,则显示继续投币提示信息
		else
		{
			OLED_ShowString(0,0,"Please continue to coin...",16);
		}	
	}
	OLED_Refresh_Gram();//刷新显示缓存
}






三、实验现象

B站演示视频:https://space.bilibili.com/444388619
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述


联系作者

专注于51单片机、STM32、国产32、DSP、Proteus、ardunio、ESP32、物联网软件开发,PCB设计,视频分享,技术交流。

  • 12
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值