Questasim coverage覆盖率

仿真时用了覆盖率选项,仿真后在库目录下会有一个ucdb文件

多个测试会产生多个,记得改名。

查看单个文件覆盖率命令

vsim -viewcov xxxxxx.ucdb

多个ucdb文件的合并命令:进入存放ucdb文件目录

vcover merge merged.ucdb *.ucdb
将上述4个文件合并成一个文件:
merged.ucdb

使用查看命令查看

也可以在进行操作coverage code>>coverage report生成html的文件,看的更清楚,截图更方便。

更多的coverage使用参考

https://blog.csdn.net/jackinzhou/article/details/7897189

这个是Linux下的gui操作,使用注意一下。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值