modelsim10.4 搭建uvm平台

在网上搜了很多用modelsim搭uvm平台的文章

http://blog.csdn.net/w40306030072/article/details/52810784

参考这篇为主

但修改路径后直接运行发现modelsim会报错,说无法include我们设置的uvm的头文件

又参考了http://blog.csdn.net/wyf100/article/details/9303753

发现了有两个需要设置:

一是uvm_dpi,modelsim已经编译好了。直接引用就可以

set  UVM_DPI_HOME   E:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi

二是uvm_home则需要指定一下,使文件到那个目录下编译执行,就不会出现找不到uvm相关文件了

vlog  +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world.sv

这些改变均在sim.do中,总的内容为

set  UVM_HOME   E:/modeltech64_10.4/verilog_src/uvm-1.1d
set  UVM_DPI_HOME   E:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi
vlib work
vlog  +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world.sv
vsim -c -sv_lib $UVM_DPI_HOME   work.hello_world_example
run 100

成功跑了hello_world






  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值