设计Soc并使用FPGA实现的流程及软件简介

Introduction

Soc即“System-on-a-Chip”,将系统做成一块芯片上。作为完整的系统,Soc既要包括处理器核、总线、外设等硬件,又要包括处理器需要执行的指令,就涉及到了“硬件编程”和“软件编程”。

本文将主要介绍“硬件编程”和“软件编程”的概念和区别、设计Soc并基于FPGA实现的流程以及所需要使用的软件。

硬件编程与软件编程

“硬件编程”编的是一个个门电路以及相互连接关系,描述的是硬件的结构。
“软件编程”编的是一条条的指令,描述的是硬件的“动作”。

设计Soc并基于FPGA实现流程


软件编程语言(C/汇编)可通过Keil可将编译成为机器码十六进制文件。将机器码作为RAM的初始化内容,就可以进行仿真,在Modelsim软件中观察SoC工作时各个信号的波形。

Vivado可将硬件描述语言(Verilog/VHDL)所描述的SoC编译、综合、实现,将FPGA内部本身无序的各种逻辑资源(如查找表、触发器、RAM等)配置成为有序的电路,实现SoC功能。

若将机器码通过工具下载到由FPGA实现的SoC中,那么就可以让SoC执行编写的程序,通过FPGA开发板观察执行结果,进行设计验证。

参考资料:
[1]如何高效利用Arm DesignStart计划开放的处理器核-工具篇

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值