Systemverilog(绿皮书)第四章——连接设计(三)测试的开始于结束

module tb;
    bit clk;
     initial begin
        forever #5ns clk <= !clk;
     end

    counter dut(clk);
    initial begin
        #500ns;
        $finish();
    end
endmodule

program pgm1;
    initial begin : proc1
        #100ns;
        $display("$%0t p1.proc1 finished",$time);
    end

    initial begin : proc2
        #400ns;
        $display("$%0t p1.proc2 finished",$time);
    end
endprogram


program pgm2;
    initial begin : proc1
        #200ns;
        $display("$%0t p1.proc1 finished",$time);
    end

    initial begin : proc2
        #300ns;
        $display("$%0t p1.proc2 finished",$time);
    end
endprogram

module tb;
bit clk;
    initial begin
        forever #5ns clk <=!clk;
    end
    counter dut(clk);
    pgm1 p1();
    pgm2 p2();
endmodule

则仿真分别会在100 ns,200ns,300ns和400ns打印,在400ns时结束仿真。

如果initial语句一直运行下去,内置$exit()来强行结束。

 

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值